数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章 MAX+plusⅡ开发软件

上传人:E**** 文档编号:89506252 上传时间:2019-05-26 格式:PPT 页数:51 大小:1.34MB
返回 下载 相关 举报
数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章  MAX+plusⅡ开发软件_第1页
第1页 / 共51页
数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章  MAX+plusⅡ开发软件_第2页
第2页 / 共51页
数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章  MAX+plusⅡ开发软件_第3页
第3页 / 共51页
数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章  MAX+plusⅡ开发软件_第4页
第4页 / 共51页
数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章  MAX+plusⅡ开发软件_第5页
第5页 / 共51页
点击查看更多>>
资源描述

《数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章 MAX+plusⅡ开发软件》由会员分享,可在线阅读,更多相关《数字系统设计与EDA技术 教学课件 ppt 作者 于润伟 第4章 MAX+plusⅡ开发软件(51页珍藏版)》请在金锄头文库上搜索。

1、第4章 MAX+plus开发软件,本章要点 MAX+plus软件的安装及授权 MAX+plus图形输入方式设计向导 MAX+plus文本输入方式设计向导,4.1.1 安装 1)启动安装向导:运行setup.exe,出现如图所示界面。,4.1 MAX+plus软件安装,单击【Next】按钮,出现另一个窗口,如图所示。,在公司与用户协议窗口中单击【Yes】按钮,表示同意该协议后,出现下图。,2)输入用户信息:单击【Next】按钮,出现用户信息对话框。根据自己的实际情况输入用户信息,如图所示。,完成后,单击【Next】按钮。,3)选择安装组件:选择准备安装的组件,默认是全选。软件若要安装在D盘(或其

2、他盘符)下,可单击【 Browse】按钮。,键入或选择安装的目录,如果目录不存在,会出现提示是否创建此目录的对话框,可单击【Yes】按钮,然后单击【OK】按钮。回到上图后,单击【Next】按钮。,4)选择部件安装:要求选择安装MAX+plus Tutorial部件,该部件中含有许多设计实例的硬件描述语言源代码或原理图,最好安装。单击【Browse】按钮,可以选择安装目录。选好后单击【Next】按钮。,单击【Next】按钮,出现安装画面,如图所示,安装结束。,在安装好软件之后,还必须需要完成授权工作,才能保证软件的正常工作。,4.1.2 软件授权 1记录系统信息 1)单击【开始】按钮,用鼠标指向

3、“程序”菜单,运行MAX+plus10.2 BASELINE,如图所示。,2)单击OptionsLicense Setup菜单,将会打开License Setup对话框。,License File or Server Name 栏是空的,表示没有授权文件,需要获得License.dat授权文件。如果是光盘版用户,可以在光盘中查找该文件。若找到,将其复制到硬盘,并将路径填写到License File or Server Name的空白处,然后单击【OK】按钮,授权工作完成;若没有,就要到Altera公司的网站去申请。,3)单击【System Info】按钮,将会打开如图所示的窗口,其中Netwo

4、rk Interface Card(NIC) ID右边的数字是网络接口卡的序列号、C:drive serial number右边的数字就是用来申请授权的硬盘序号,都要记下来,然后单击【OK】按钮。,2申请授权文件 1)进入Altera公司网站的首页,网址是http:/。,2)在中间的Support Center处单击Software选项。,3)单击Licensing Center选项,若是单击Downlond Center选项,就可以下载Altera公司提供的最新免费软件。,4)单击MAX+ PLUSBASELINE software选项,即会打开另一个窗口,接下来会要求填一些基本资料,这里面

5、最重要的就是E-mail地址,一定要填正确,否则无法收到Altera公司回复给你的授权文件。,5)单击【Submit Request】按钮。,在这个窗口中会要求输入所安装硬盘的序号,就要用到记下来的硬盘序号,输入完后,单击【Continue】按钮。,按要求填写一些调查资料。添好后,单击【Finish】按钮。申请工作完成。,3授权文件安装 1)在信箱中查看Altera公司的回信,把信中附件内的授权文件(文件名不定,扩展名为dat)下载,并将该文件存入到安装目录d:maxplus2license下(请自建一个license子目录)。如果在12个小时内还没有收到回信,可再次申请。,2)重新运行MAX

6、+plus10.2 BASELINE。进入后单击OprionsLicense Setup菜单,在打开的License Setup对话框中,单击License File or Server Name字段右边的【Browse】按钮,打开如图所示的对话框。,双击d:maxplus2license文件夹,在Files下显示授权文件,单击该授权文件,使其文件名显示在File Name中,然后单击【OK】按钮,回到License Setup对话框。如果授权成功的话,Unlicensed Features字段中大部分不能使用的功能项都将移至左边的Licensed Features字段中,表示这些功能可以使用

7、,安装工作全部结束。,4.2 MAX+plus设计向导,4.2.1 项目建立 1建立设计文件夹 2启动MAX+plus10.2 BASELINE 单击“开始”“程序”MAX+plus10.2 BASELINE组MAX+plus10.2 BASELINE项,随即出现MAX+plus管理窗口。 3建立项目,单击标题栏的File菜单,从中选择ProjectName菜单,出现项目名称对话框。在 Directories 区域内,双击设计者为本次设计项目所建的目录(文件夹)。然后在Project Name的空白区,输入操作者拟定的项目名。项目名称的命名规则是:名称可由字母、数字和下划线组成。在本例中,文件

8、夹为Example,项目名为Count,完成后,单击【OK】按钮,MAX+plus返回管理器窗口。,4.2.2 编辑文件 1建立图形输入文件 单击FileNew选项,MAX+plus支持四种方式:Graphic Editor file是图形输入方式;Symbol Editor file方式可以编辑用户生成的符号文件;Text Editor file是文本输入方式,支持如VHDL、AHDL和Verilog_HDL硬件描述语言;Waveform Editor file是波形输入方式。,选择Graphic Editor File选项后,接受gdf的默认扩展名,单击【OK】按钮确认。进入图形编辑器的编

9、辑环境。,左侧是画图工具按钮,其中皮筋拖动功能打开时,连线像皮筋一样可以伸缩。打开此功能移动图标时,可以判断接线的连接情况。,为了使电路图更清晰,可以给图形编辑器的图形编辑区设置网格线。设置网格线的方法是在标题栏中单击OptionsShow Guidelines选项,这时该项前面会出现一个对钩,表明当前处于显示网格线状态。如果要取消网格线,可再次作上述操作,把Show Guidelines选项前面的对钩去掉,窗口立即看不到网格线了。 另外,还可以通过对单击OptionsGuideline Spacing菜单,弹出网格线间距的设置对话框,输入适当的数字并确认即设置网格线的疏密。,2调入元件符号及

10、引脚 用鼠标左键在图形编辑器中图形编辑区的任意位置上双击,即可弹出符号输入对话框。,输入元件有两种方式:一种是在Symbol Name对话框中直接输入元件名称;一种是调用MAX+plus库文件中的元件。这两种方式都必须了解每个元件的名称、用法乃至特性,以便在设计中正确地使用。MAX+plus为实现不同的逻辑功能提供了库文件,每个库对应一个目录。,在Symbol Name中输入74161,单击【OK】按钮。然后在图形编辑区单击鼠标左键,即输入一个74161芯片。按照同样的方法依次输入NAND2、VCC、GND、INPUT和OUTPUT。,如果要了解某一个元件的性质,可单击工具栏的按钮,再单击要了

11、解的元件。例如想知道74161的用法,进行上述操作后。,3元件符号的复制和移动 可单击准备复制的元件或用鼠标对该元件画矩形框(定位于某一点,按下鼠标左键并向元件对角方向拖动),元件的轮廓变成红色的粗实线,表示已经选中该元件,然后按住Ctrl键,对该元件拖动,即可拖出一个被复制的元件。 元件需要移动时,可用鼠标拖动图形编辑区中的元件图形符号,元件就能随着鼠标的滑动而任意移动。左键释放,则图形元件定位。若要同时移动多个元件,可以用鼠标左键拉出一个大的矩形框,把要移动的元件都包围起来。这样多个元件同时被选中,就可以一起被移动了。,4连接各元件符号(电路连线) 首先将各元件符号移动到合适的位置,以易于

12、连线。将鼠标移至某一元件符号的外轮廓边缘的引脚处,鼠标箭头会自动变成十字形状。此时可以按住左键拖动,直至另一个需要连接的元件输入或输出引脚处,松开左键。于是,这两个元件引脚间就会出现红颜色的连线。红色表示它是“选中”的,可以移动、删除和复制。进行任何其他的鼠标操作都将使连线变成黑色(固化)。画折线时,可在转折处松开鼠标左键一下再按住,继续拖动即可。,5元件命名 1)管脚名称 所有的输入输出管脚在输入到编辑区之初,均被系统默认命名为PIN_NAME。 注意:管脚不能使用默认的名称,必须重新命名。 2)节点名称 3)总线名称,6保存 单击Filesave菜单,以Count为文件名,以gdf为扩展名

13、,保存当前文件。 注意:文件名与项目名必须相同且在同一个文件夹下。,4.2.3 编译 1选择器件 单击AssignDevice选项,打开器件选择对话框。单击Device Family区的下拉按钮,进行器件选择,本例中选择ACEX1K系列;然后在Devices区中选择EP1K30TC144-1可编程逻辑器件,选择完毕后,单击【OK】按钮。,2编译 单击MAX+plusCompiler选项,即可打开编译器。另外,也可以单击FileProjectSave & Compiler(保存文件同时编译)选项,系统将把当前设计的若干文件存盘后,自动弹出编译器窗口,单击【Start】按钮,编译器就开始对当前设计

14、进行编译。,编译完成后,系统弹出错误和警告信息统计对话框,单击【确定】按钮。,3编译过程 编译器是由一系列处理模块构成的,这些模块负责对设计项目的检错、逻辑综合和结构综合。即将设计项目与选择(没有选择,系统会指定一个)的可编程逻辑器件进行适配,同时产生多种用途的输出文件。编译器首先从工程设计文件的层次结构描述中提取信息,包括每个低层次文件中的错误信息,供设计者排除,然后将这些层次构建产生一个结构化的电路原理图文件,并把各层次中所有的文件结合成一个数据包,以便更有效地处理。,4创建电路符号 单击菜单FileCreat Default Symbol选项,产生Count.sym文件(操作界面没有任何

15、变化)代表现在所设计的电路,该文件可以作为独立的元件供其他设计调用。单击FileEdit Symbol选项,进入Symbol Edit窗口,可以看到所创建的电路符号。,5创建电路包含文件 单击菜单FileCreat Default Include File选项,产生Count.inc文件,可以供其他VHDL程序编辑时使用。查看时,可单击菜单FileOpen选项,在弹出的对话框中,选择Count.inc文件。,4.2.4 仿真 1建立波形输入文件 单击FileNew菜单,打开新建文件类型对话框,选择其中的Waveform Editor File选项,扩展名为scf,然后单击【OK】按钮。,2加入

16、管脚 单击标题栏的NodeEnter Nodes from SNF选项。,单击【List】按钮,可在Available Nodes &Groups区中,看到在当前设计中所使用的输入、输出信号管脚名称。这些信号管脚蓝色高亮度显示,表示被选中。单击【=】按钮,可将这些信号选择到Selected Nodes & Groups区,表示可对这些信号进行观测。单击【OK】按钮,MAX+plus就自动进入了编辑输入信号波形的状态。,单击FileSave选项,将此波形文件按默认名存盘。设计者接下来的任务就是按照对所设计电路的功能、特性的预期,构思一个特定的工作状态来测试系统的性能。因此,要先确定输入信号波形。,3编辑前设置 单击标题栏的FileEnd Time菜单,设定仿真时间。,在Time旁边的空白框中输入适当数值(本例中设置为1000ns,时间单位可以为 n

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号