现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章

上传人:E**** 文档编号:89252208 上传时间:2019-05-22 格式:PPT 页数:96 大小:2.83MB
返回 下载 相关 举报
现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章_第1页
第1页 / 共96页
现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章_第2页
第2页 / 共96页
现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章_第3页
第3页 / 共96页
现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章_第4页
第4页 / 共96页
现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章_第5页
第5页 / 共96页
点击查看更多>>
资源描述

《现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章》由会员分享,可在线阅读,更多相关《现代计算机组成原理 教学课件 ppt 作者 潘松 潘明 编著 第 10 章(96页珍藏版)》请在金锄头文库上搜索。

1、现代计算机组成原理,潘 明 潘 松 编著,科学出版社,第 10 章,NiosII嵌入式系统 软硬件设计,10.1 NiosII基本硬件系统构建,10.1.1 设计模型准备,图10-1 NiosII系统模型,10.1 NiosII基本硬件系统构建,10.1.1 设计模型准备,图10-2 选择Tcl文件,10.1 NiosII基本硬件系统构建,10.1.1 设计模型准备,图10-2 选择Tcl文件,【例10-1】 setup_cyclone_1c6_gwsopc.tcl # Load Quartus II Tcl Project package package require :quartus:p

2、roject set_global_assignment -name FAMILY Cyclone set_global_assignment -name DEVICE EP1C6Q240C8 set_global_assignment -name RESERVE_ALL_UNUSED_PINS “AS INPUT TRI-STATED“ set_location_assignment PIN_1 -to led0 set_location_assignment PIN_2 -to led1 set_location_assignment PIN_3 -to led2 set_location

3、_assignment PIN_4 -to led3 set_location_assignment PIN_6 -to led4 . . . set_location_assignment PIN_164 -to disp27 set_location_assignment PIN_165 -to disp28 set_location_assignment PIN_166 -to disp29 set_location_assignment PIN_167 -to disp30 set_location_assignment PIN_168 -to disp31,10.1 NiosII基本

4、硬件系统构建,10.1.1 设计模型准备,图10-3 确定配置器件EPCS4,10.1 NiosII基本硬件系统构建,10.1.1 设计模型准备,图10-4 建立一个新的系统,10.1 NiosII基本硬件系统构建,10.1.1 设计模型准备,图10-5建立一个SOPC系统模块,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-6 Nios II/s CPU模式,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-7 选择JTAG的调试模式,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,

5、图10-8 加入了NiosII的SOPC窗口,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-9 组件JTAG UART设置,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-10 组件Timer设置窗,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-11 组件PIO输入口设置窗,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-12 输入方式设置窗,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-1

6、3 加入8个输出PIO口,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-14 加入Avalon总线3态桥设置,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-15 加入外部Flash组件的设置窗,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-16 设置对外部Flash读写时序,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-17 加入系统ID组件,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10

7、-18 EPCS Serial Flash Controller组件,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-19 加入SRAM组件,10.1 NiosII基本硬件系统构建,10.1.2 NiosII系统加入组件,图10-20 本项设计NiosII完整组件窗,10.1 NiosII基本硬件系统构建,10.1.3 NiosII系统生成前设置与系统生成,图10-21地址自动分配设置,1、地址自动分配设置,10.1 NiosII基本硬件系统构建,10.1.3 NiosII系统生成前设置与系统生成,图10-22 NiosII处理器配置窗,2、复位地址和程

8、序运行区域置,10.1 NiosII基本硬件系统构建,10.1.3 NiosII系统生成前设置与系统生成,图10-23 Nios II系统生成窗,3、系统文件生成,10.1 NiosII基本硬件系统构建,10.1.4 NiosII硬件系统生成,图10-24 Nios II系统生成窗,1、加入原理图元件模块,10.1 NiosII基本硬件系统构建,10.1.4 NiosII硬件系统生成,图10-25 连上Nios2_Systm模块,1、加入原理图元件模块,10.1 NiosII基本硬件系统构建,10.1.4 NiosII硬件系统生成,图10-26 将锁相环PLL20连接到时钟输入端,2、加入锁相

9、环,10.1 NiosII基本硬件系统构建,10.1.4 NiosII硬件系统生成,图10-27 全程编译完成,3、编译,10.2 NiosII软件设计与运行流程,1、向FPGA下载配置文件,图10-28 下载niosII_lab.sof配置文件,10.2 NiosII软件设计与运行流程,2、进入集成开发环境IDE,图10-29 点击Run NiosII IDE按纽,进入集成开发环境,10.2 NiosII软件设计与运行流程,2、进入集成开发环境IDE,图10-30 选择NiosII IDE选项,进入集成开发环境,10.2 NiosII软件设计与运行流程,2、进入集成开发环境IDE,图10-3

10、1 选择软件工程库,10.2 NiosII软件设计与运行流程,2、进入集成开发环境IDE,图10-32 选择进入IDE软件设计/调试平台,10.2 NiosII软件设计与运行流程,3、建立C软件开发工程,图10-33 建立一个软件实例工程,10.2 NiosII软件设计与运行流程,3、建立C软件开发工程,图10-34 选择C/C+应用,10.2 NiosII软件设计与运行流程,3、建立C软件开发工程,图10-35 在示例库中选择一个C程序实例,10.2 NiosII软件设计与运行流程,3、建立C软件开发工程,图10-36 进入NiosII IDE窗口,10.2 NiosII软件设计与运行流程,

11、4、编译运行C程序,图10-37 编译、下载并在NiosII CPU中全速运行该示例,10.2 NiosII软件设计与运行流程,5、观察运行结果,图10-38 存盘已修改的C源程序,10.2 NiosII软件设计与运行流程,6、单步/跟踪调试运行,图10-39 C程序下载成功,启动运行,10.2 NiosII软件设计与运行流程,6、单步/跟踪调试运行,图10-40 选择单步/跟踪调试模式运C程序,10.2 NiosII软件设计与运行流程,6、单步/跟踪调试运行,图10-41 选择单步/跟踪调试模式运C程序,10.2 NiosII软件设计与运行流程,6、单步/跟踪调试运行,图10-42 单步/跟

12、踪调试,10.2 NiosII软件设计与运行流程,6、单步/跟踪调试运行,图10-43 返回IDE主控窗,10.2 NiosII软件设计与运行流程,6、单步/跟踪调试运行,图10-44 将已调试好的C程序COPY到其他文件夹存盘,10.2 NiosII软件设计与运行流程,7、运行另一个示例程序,图10-45 为测试运行另一个示例程序建立一个新工程,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-46 修改hello_world.c源程序,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-47 hello_world.c程序下载运行成功,并通过JTAG_UA

13、RT口输出执行结果,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-48 为调试一个用户程序建立一个空白工程,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-49 同样选择C/C+应用,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-50 选择空白工程,并取名为:project_LED,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-51 选择默认设置,10.2 NiosII软件设计与运行流程,8、运行用户程序,图10-52 将hello_SEG7.c拖入Navigater栏中的用户C程序工程,10.2 NiosI

14、I软件设计与运行流程,8、运行用户程序,图10-53 返回C/C+ Projects窗,并全速运行该用户程序,10.3加入用户自定义组件设计,图10-54 PWM用户自定制逻辑模块的Verilog文件和C文件存放路径,10.3加入用户自定义组件设计,1、入用户逻辑模块,图10-55 将用户自定制逻辑模块加入进NiosII中,1、入用户逻辑模块,图10-56 加入用户自定制逻辑模块文件,1、入用户逻辑模块,图10-57 读入模块的端口表,并补充端口名,10.3加入用户自定义组件设计,1、入用户逻辑模块,图10-58 最后正确的端口名表,10.3加入用户自定义组件设计,1、入用户逻辑模块,图10-

15、59 加入了用户自定制模块的NiosII组件列表,10.3加入用户自定义组件设计,2、生成和编译,图10-60 NiosII组件生成运行完成,10.3加入用户自定义组件设计,2、生成和编译,图10-61 在更新的NiosII模块中加入端口信号,10.3加入用户自定义组件设计,2、生成和编译,图10-62 电机引脚原理图,10.3加入用户自定义组件设计,3、锁定FPGA控制电机的引脚,图10-63 建立一个空的软件工程project_pwm,4、建立软件工程,10.3加入用户自定义组件设计,图10-64 将2工作软件拖入Navigator栏的空工程中,4、建立软件工程,10.3加入用户自定义组件

16、设计,图10-65 观察C/C+ Projects栏中被加入的源程序pwm_motor.c,4、建立软件工程,10.3加入用户自定义组件设计,5、运行和调试软件,图10-66 编译并全速运行该程序,10.3加入用户自定义组件设计,5、运行和调试软件,图10-67 软件对电机运行和操作过程,10.3加入用户自定义组件设计,6、加入电机测速电路,图10-68 将频率计VHDL顶层文件生成一个原理图元件,10.3加入用户自定义组件设计,6、加入电机测速电路,图10-69 频率计FREQTEST的RTL,10.3加入用户自定义组件设计,6、加入电机测速电路,图10-70 向主系统原理图调入频率计原理图元件,10.3加入用户自定义组件设计,6、加入电机测速电路,图10-71 NiosII系统完整的原理图,10.3加入用户自定义组件设计,6、加入电机测速电路,图10-72 NiosII系统电机控制与转速测定/显示电路,10.3加入用户自定义组件设计,7、运行软件,首先将此电路系统从新全程编译一次,下载后,再将以上的软件程序下载运行,观察转速控

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号