海南省大学生电子设计竞赛设计报告

上传人:jiups****uk12 文档编号:88860747 上传时间:2019-05-11 格式:DOC 页数:16 大小:1.80MB
返回 下载 相关 举报
海南省大学生电子设计竞赛设计报告_第1页
第1页 / 共16页
海南省大学生电子设计竞赛设计报告_第2页
第2页 / 共16页
海南省大学生电子设计竞赛设计报告_第3页
第3页 / 共16页
海南省大学生电子设计竞赛设计报告_第4页
第4页 / 共16页
海南省大学生电子设计竞赛设计报告_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《海南省大学生电子设计竞赛设计报告》由会员分享,可在线阅读,更多相关《海南省大学生电子设计竞赛设计报告(16页珍藏版)》请在金锄头文库上搜索。

1、 海南省大学生电子设计竞赛设计报告题 目: 数字频率计 学 校: 琼州学院 参赛队员: 董晋玮 程宇 葛帅强 指导老师: 2012年11月目 录摘要关键词设计要求第一章:数字频率计测频的基本原理第二章:基本单元电路设计2.1放大整形电路设计与仿真2.2时基电路2.3闸门电路2.4逻辑控制电路设计2.5锁存及译码电路设计2.6整体电路图及仿真2.7整机元件清单第三章:系统组装、调试及结果第四章:课程设计总结致谢参考文献数字频率计(琼州学院 电子信息工程学院,海南三亚 572022)摘要:数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其功能是测量正弦信号,方波信号,尖脉冲信号以及其他

2、各种单位时间内变化的物理量,因此已经成为电路设计的常用原器件之一,有它不可取代的地位。在电子技术中,频率与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中数字计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐述了设计了一个简单的数字频率计的过程。关键词:数字频率计 设计要求设计任务及要求:设计一简易数字式频率计,其设计要求及技术指标是:1基本要求: (1)被测信号为矩形脉冲信号,04V;(2)显示的频率范围为00009999Hz;

3、(3)测量精度为1Hz;(4)用LED数码管显示频率数值。2扩展部分:(1)输入信号为正弦信号、三角波,幅值为10mV;(2)显示的频率范围为010MHz;(3)自动量程切换;(4)如何提高测量的精度。频率是指单位时间内信号振动的次数。从测量的角度看,即在标准时间内,测得的被测信号的脉冲数。其测量的频率的方框图如下图所示。被测信号送入通道,经放大整形后,使每个周期形成一个脉冲,这些脉冲加到主门的输入端,门控双稳输出的门控信号,加到主门的输入端。在主门开启时间内,脉冲信号通过主门,进入计数器,则计数器记得的数,就是要测的频率值。如果主门的开启时间为秒,计数器累积的数字为,则被测的频率为。元器件清

4、单:NE5551片74LS1232片74LS904片74LS2732片74LS484片BS2024个(共阴LED数码管)74LS002片三极管90141只二极管1N40071只电阻:10k3,47k2,39k2,1k1,3.3k1,68028电位器:50k1,100k1瓷介电容:0.01F1电解电容:47F1,4.7F2,100F1,10F1按钮开关1第一章 数字频率计测评的基本原理频率计是直接用十进制来显示被测信号频率的一种测量装置。它可以测量正弦波、方波、三角波的频率。利用施密特触发器将输入信号整形为方波,并利用计数器测量1s内脉冲的个数,利用锁存器锁存,稳定显示在数码管上。频率是指单位时

5、间(1s)内信号振动的次数。从测量的角度看,即单位时间测得的被测信号的脉冲数。被测信号送入通道,经放大整形后,使每个周期形成一个脉冲,这些脉冲加到主门的A输入端,门控双稳输出的门控信号加到主门的B输入端。在主门开启时间内,脉冲信号通过主门,进入计数器,则计数器记得的数,就是要测的频率值。如果主门的开启时间为Ts,计数器累积的数字为N,则被测的频率为频率测量原理设计框图如下:频率计总体框图第二章:基本单元电路设计2.1放大整形电路设计与仿真放大整形电路本部分电路由三极管放大电路和门电路组成。作用是将正弦波或三角波输入信号整形成同频率方波,测试信号通过通过三极管放大电路进行放大,使微弱信号到达可测

6、量的幅度。经过放大整形后的方波送到闸门以便计数。放大整形电路整形放大电路的设计仿真用于非矩形波信号频率的测量。通过频率放大电路后,非矩形波可转化为矩形波,且其频率保持不变,即达到了测量任意波形信号频率的测量的扩展目的。仿真原理图: 整形放大电路的仿真2.2时基电路时基电路本部分电路由555芯片组成,作用是提供用于测量单位时间(1s),即闸门信号的开启时间。同时产生的方波信号下降沿激发锁存器的锁存信号,再由该信号激发计数器的计数信号。时基电路时基电路的仿真采用555多谢振荡电路,输出方波周期为:T=0.7(RP+R1+2R2)C;可调电阻RP=0100K,输出方波的周期T=0.75s1.575s

7、,占空比D=68.8%82.67%仿真原理图:555多谐震荡电路的仿真仿真结果:555多谐震荡电路的仿真波形2.3闸门电路本部分电路由与门组成,该电路有两个输入端和一个输出端,输入端的一端,接门控信号,另一端接整形后的被测方波信号。闸门是否开通,受门控信号的控制,当门控信号为高电平“1”时,闸门开启;而门控信号为低电平“0”时,闸门关闭。显然,只有在闸门开启的时间内,被测信号才能通过闸门进入计数器,计数器计数时间就是闸门开启时间。可见,门控信号的宽度一定时,闸门的输出值正比于被测信号的频率,通过计数显示系统把闸门的输出结果显示出来,就可以得到被测信号的频率。采用2/5分频十进制加法计数器74L

8、S90,四片级联可扩展测量范围到19999Hz,R91、R92端置零,R01、R02单稳态触发器输出的控制段相接,起到给计数器清零、为下一周期的计数做准备的作用。逻辑控制及闸门电路2.4逻辑控制电路设计逻辑控制电路本部分电路由单稳芯片和门电路组成。作用是提供计数器的计数信号和锁存器的锁存信号。各部分信号逻辑关系如图所示。其中:A为被测信号; B为时基信号,秒脉冲;C为锁存器送数信号;D为计数器清零信号;E为计数器计数脉冲信号。各部分波形逻辑关系逻辑控制电路的仿真采用74LS123集成芯片,其功能表如下:逻辑控制电路的仿真采用1Q与2A相连,比1Q与2A相连精度更高,因为1Q将产生20ms宽的脉

9、冲信号,控制清零的信号产生一定的延迟,在测量频率相对较高的信号时建会产生一定的误差。仿真原理图:逻辑控制电路的仿真 锁存信号与时基信号逻辑关系图 计数信号与时基信号逻辑关系图2.5锁存及译码电路设计本部分电路由锁存器和译码器组成。其中计数器按十进制计数。如果在系统中不接锁存器,则显示器上的显示数字就会随计数器的状态不停地变化,只有在计数器停止计数时,显示器上的显示数字才能稳定,所以,在计数器后边必须接入锁存器。锁存器的工作是受单稳态触发器控制的到。门控波形的下降沿,使单稳态触发器1进入暂态,单稳态1暂态的上升沿作为锁存器的锁存(使能)脉冲。锁存器在锁存脉冲作用下,将门控信号周期内的计数结果存储

10、起来,并隔离计数器对译码显示的作用。在锁存器将门控信号周期内的计数结果存储起来情况下,把所存储的状态送入译码器进行译码,在显示器上得到稳定的计数显示。计数锁存及显示译码电路计数锁存及显示译码电路仿真2.6整体电路图及仿真整体电路图整体电路图整体电路仿真图:2.7整机元件清单元件清单名称参数个数备注555174LS1232集成单稳74LS00274LS904计数器74LS2732锁存器74LS484译码器共阴极数码管4滑动变阻器100K1滑动变阻器47K1电阻47K2电阻39K2电阻10K3电阻3.9K1电阻101电阻1K1电容10uF1电容0.01uF1电容4.7uF2电容47uF1电容100

11、uF1三极管3DG1001二极管1N40021开关1导线若干集成块配套管座若干万能电路板1第三章:系统组装、调试及结果 接下来是根据电路图在万能电路板上将各个元器件用导线连接好。在系统的组装前,我们对整体电路在电路板上排好了版。连接完成后,我们将5V的电源接入电路,对简易数字频率计进行调试。调试完成后,一个简易数字频率计的设计就完成啦!数字方案比较本设计由三部分:被测信号、测量电路、显示电路、档位转换,可以基本完成正弦波、三角波、矩形波的测量,还可通过4位LED数码或者液晶显示。显示电路被测信号档位转换显示电路方案一: 方案二:方案三: 1. 设计与论证2.1 设计内容本设计完成了整套机械部分

12、的设计与组装,不仅强化了自己的动手能力,还使自己的专业知识得以应用,进一步顽固所学知识。2.2 分析与论证研究方法:1. 根据自己掌握的基础知识对题目进行分析;2. 对当代实际情况进行调查研究,对结果进行分析;3. 通过图书馆,网络,文献等相关资料了解表决器在国内外的发展情况;4. 设计分析,跟指导老师进行讨论;5. 结合自己所学的知识进行设计,通过实验室提供的实验器件进行硬件电路的设计与焊接; 6.验证分析,写出自己的设计方案。实验方案:可行性分析:本设计所使用的技术都已成熟,多路舵机控制技术在机器人方向已有广泛使用,有一定的资料可以借鉴,可以加快开发速度。OPENCV是来自谷歌开源项目,有完善的API接口函数和众多优秀的算法库,能用于开发人员快速进行数字图像开发,因此本设计有着一定的技术支持,可实现。16

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号