实验四cpu算术逻辑单元实验

上传人:自*** 文档编号:80508539 上传时间:2019-02-19 格式:DOC 页数:4 大小:337.80KB
返回 下载 相关 举报
实验四cpu算术逻辑单元实验_第1页
第1页 / 共4页
实验四cpu算术逻辑单元实验_第2页
第2页 / 共4页
实验四cpu算术逻辑单元实验_第3页
第3页 / 共4页
实验四cpu算术逻辑单元实验_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验四cpu算术逻辑单元实验》由会员分享,可在线阅读,更多相关《实验四cpu算术逻辑单元实验(4页珍藏版)》请在金锄头文库上搜索。

1、4 CPU_算术逻辑单元实验算术逻辑单元实验.1 4.1、实验目的1 4.2、实验原理1 4.3、实验要求2 4.4、实验步骤及结果现象观察(根据前面的设计目标和顶层设计引脚要求)3 4.5 思考题 .4 4 CPU_算术逻辑单元实验算术逻辑单元实验 4.1、实验目的、实验目的 1、掌握简单运算器的数据传送通路 2、验证运算器的组合功能 4.2、实验原理、实验原理 算术逻辑部件的主要功能是对二进制数据进行定点算术运算、逻辑运算和各种移位操 作。算术运算包括定点加减乘除运算;逻辑运算主要有逻辑与、逻辑或、逻辑异或和逻辑 非操作。ALU 通常有两个数据输入端 A 和 B,一个数据输出端 Y 以及标

2、志位等。 74181 是一种典型的 4 位 ALU 器件。图 4.1 是 74181 的电路图。 20 世纪 80 年代计算机中的算是逻辑单元有许多是用现成的算术逻辑器件连接起来构 成的。如上面提到的 74181 和 Am2901 等就是著名的算术逻辑器件。使用这些 4 位的算术 逻辑器件,能够构成 8 位、16 位等长度的算术逻辑单元。现在由于超大规模器件的广泛应 用,使用这种方法构成算术逻辑单元已经不多见,代之以直接用硬件描述语言设计算术逻 辑单元。CPU 的算术逻辑单元就是一个简单的例子。 图 4.1 74181 的电路图 4.3、实验要求、实验要求 1、实验设计目标 设计一个 16 位

3、算术逻辑单元,满足以下要求。 (1)16 位算术逻辑单元能够进行下列运算:加法、减法、加 1、减 1、与、或、非和传送。 用 3 位运算操作码 OP210进行运算,控制方式如表 4.1 所示: 运算操作码 OP210运 算对标志位 Z 和 C 的影响 000 resultA+B 影响标志位 Z 和 C 001 resultA+1 影响标志位 Z 和 C 010 resultA-B 影响标志位 Z 和 C 011 resultA-1 影响标志位 Z 和 C 100 resultA and B 影响标志位 Z 101 resultA or B 影响标志位 Z 110 resultnot B 影响标

4、志位 Z 111 result B 不影响标志位 Z 和 C (2)设立两个标志位寄存器 Z 和 C。当复位信号 reset 为低电平时,将这两个标志寄存 器清零。当预算结束后,在时钟 CLK 的上升沿改变标志寄存器 Z 和 C 的值。运算结果改 变标志寄存器 C、Z 的情况下:加法、减法、加 1、减 1、运算改变 Z、C;与、或、非运 算改变 Z,C 保持不变;传送操作保持 Z、C 不变。因此在运算结束时,Z、C 需要两个 D 触发器保存。 (3)为了保存操作数 A 和 B。设计两个 16 位寄存器 A 和 B。当寄存器选择信号 sel=0 时。如果允许写信号 write=1,则在时钟 cl

5、k 的上升沿将数据输入 dinput 送入 A 寄存器;当 sel=1 时,如果允许写信号 write=1,则在时钟 clk 的上升沿将数据输入 dinput 送入 B。 (4)算术逻辑单元用一个设计实体完成。 2、顶层设计实体的引脚要求 引脚要求对应关系如下: (1)clk 对应实验台上的时钟(单脉冲); (2)reset 对应实验台上的 CPU 复位信号 CPU_RST; (3)数据输入 dinput 对应实验台开关 SD15SD0; (4)允许写信号 write 对应实验台开关 SA5; (5)OP210对应实验台开关 SA2SA0; (6)寄存器选择信号 sel 对应实验台开关 SA4

6、; (7)16 位运算结果 result 对应实验台上的指示灯 A15A0; (8)Z、C 标志位对应实验台上的 Z、C 指示灯。 4.4、实验步骤及结果现象观察(根据前面的设计目标和顶、实验步骤及结果现象观察(根据前面的设计目标和顶 层设计引脚要求)层设计引脚要求) (1)实验台设置成 FPGA-CPU 独立调试模块; REGSEL=0,CLKSEL=1,FDSEL=0.使用实验平台上的单脉冲,即 STEP_CLK 短路子 短接,短路子 RUN_CLK 断开; (2)将设计在 Quartus II 下输入,在编译后下载到 TEC-CA 上的 FPGA 中; (3)输入 A 这个数:Sel=0

7、(SA4) ,write=1(SA5) ,A 数(SD15SD0) ,按一下单脉冲 按钮(单脉冲按钮) ,使之存入 A 寄存器; (4)输入 B 这个数:Sel=1(SA4) ,write=1(SA5) ,B 数(SD15SD0) ,按一下单脉冲 按钮(单脉冲按钮) ,使之存入 B 寄存器; (5)输入功能码 OP210(SA2SA0) ,按一下单脉冲按钮(单脉冲按钮) ; (6)观察 16 位运算结果 result(A15A0)及 Z、C 指示灯; (7)重复实验步骤(3)-(6)对以下 4 组数据进行表 4.1 的 8 种运算,把运算结果及标 志位填写在表 4.2 中: A、对第一组数据进

8、行 8 种运算,A 为 0xAAAA,B 为 0x5555; B、对第一组数据进行 8 种运算,A 为 0xFFFF,B 为 0x0000; C、对第一组数据进行 8 种运算,A 为 0x0000,B 为 0xFFFF; D、对第一组数据进行 8 种运算,A 为 0x8950,B 为 0x9863; 表 4.2 算术逻辑单元实验 标志位 C标志位 Z 运算数据运算类型 操作码 OP 运算结果 result 运算前运算后运算前运算后 resultA+B 00011111111111111110000 resultA+1 00110101010101010110000 resultA-B 0100

9、1010101010101010000 resultA-1 01110101010101010011 100 第 1 组数据 A=0xAAAA B=0x5555 resultA and B 10000000000000000001111 resultA or B 10101010101010101011100 resultnot B 11010101010101010101100 result B 11101010101010101011100 resultA+B 00011111111111111110000 resultA+1 00100000000000000001101 resultA

10、-B 01011111111111111111010 resultA-1 01111111111111111100000 resultA and B 10000000000000000000001 resultA or B 10111111111111111110010 resultnot B 11011111111111111110000 第 2 组数据 A=0xFFFF B=0x0000 result B 11100000000000000000000 resultA+B 00011111111111111110000 resultA+1 00100000000000000010000 r

11、esultA-B 01000000000000000010100 resultA-1 01111111111111111111100 resultA and B 10000000000000000001101 resultA or B 10111111111111111110001 resultnot B 11000000000000000000011 第 3 组数据 A=0x0000 B=0xFFFF result B 11111111111111111110011 resultA+B 00000100001101100111100 resultA+1 0011000100101010001

12、1000 resultA-B 01011110000111011010100 resultA-1 01110001001010011111000 resultA and B 10010001000010000000000 resultA or B 10110011001011100110000 resultnot B 11001100111100111000000 第 4 组数据 A=0x8950 B=0x9863 result B 11110011000011000110000 4.5 思考题思考题 1、阅读懂实验用的源代码; 2、如果把操作码“111”的功能改成逻辑左移,该怎样修改程序? 3、如果保持原有操作码功能不变,运算器单元加上逻辑左移、逻辑右移等操作,那该如何 处理?

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号