自动产生8-bit risc微控制器硬 体描述语言之设计

上传人:小** 文档编号:71595346 上传时间:2019-01-21 格式:PPT 页数:17 大小:230.50KB
返回 下载 相关 举报
自动产生8-bit risc微控制器硬 体描述语言之设计_第1页
第1页 / 共17页
自动产生8-bit risc微控制器硬 体描述语言之设计_第2页
第2页 / 共17页
自动产生8-bit risc微控制器硬 体描述语言之设计_第3页
第3页 / 共17页
自动产生8-bit risc微控制器硬 体描述语言之设计_第4页
第4页 / 共17页
自动产生8-bit risc微控制器硬 体描述语言之设计_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《自动产生8-bit risc微控制器硬 体描述语言之设计》由会员分享,可在线阅读,更多相关《自动产生8-bit risc微控制器硬 体描述语言之设计(17页珍藏版)》请在金锄头文库上搜索。

1、1,自動產生8-bit RISC微控制器硬 體描述語言之設計,Student: Chien Nan Lin,賴瑞明,2002年,全國博碩士論文資訊網。,2/17,Outline,Introduction 自動產生 8-bit RISC 微控制器之設計 論文中可改進發展方向,3/17,Introduction,論文中提出一個自動產生 8-bit RISC 微控制 器的方法,如何根據不同的指令集和微控器的 規格,來產生出一個8-bit RISC 微控制器 verilog code出來。,4/17,Outline,Introduction 自動產生 8-bit RISC 微控制器之設計 論文中可改進

2、發展方向,5/17,產生 8-bit RISC 微控制器之設計(1/11),流程主要分成三部份: 收集欲設計微控制器相關資訊,如指令集的定義、特殊功能的使用、資料記憶體的規劃等等,建立表格填入。 將建立好的表格放入自動微控制器產生器中,產生所要設計 8-bit 微控制器的Verilog code 語法。 產生Verilog code 後,做模擬驗證。,6/17,產生 8-bit RISC 微控制器之設計(2/11),需先由建表規畫開始,輸入所需要產生微控制 器的規格和指令集,輸入建表包含: 資料記憶體 輸入/輸出埠 特殊使用暫存器 算術邏輯單元,指令集 堆疊大小 用來產生計數器/看 門狗計時器

3、所要的位 元大小,7/17,產生 8-bit RISC 微控制器之設計(3/11),8/17,產生 8-bit RISC 微控制器之設計(4/11),第三部分流程:,欲產生8-bit RISC規格,依據表格的欄位 建表輸入,主函數內建構各控制訊號相互間 關係,子函數內產生 Verilog code,產生出欲設計的8-bit RISC 微控制器,A,A,Pre-sim,Synthesis,FPGA verification,Layout,Yes,No,9/17,產生 8-bit RISC 微控制器之設計(5/11),10/17,產生 8-bit RISC 微控制器之設計(6/11),11/17,

4、產生 8-bit RISC 微控制器之設計(7/11),指令集相關改進: 管線設計 暫存器連鎖 分支預測,12/17,產生 8-bit RISC 微控制器之設計(8/11),抓取指令,解碼出運算元 有效位址,抓取指令,解碼出運算元 有效位址,取出有效位置內 運算,執行運算,儲存運算結果,儲存運算結果,取出資料及資 料運算,管線設計:,13/17,產生 8-bit RISC 微控制器之設計(9/11),暫存器連鎖:發生在某一指令將要改變某一暫存器的值,而下一指令緊接存取這個暫存器。,解決方法: 在造成暫存器連鎖之間的指令加入一個NOP(不 做任何執行動作)。 增加硬體電路偵測暫存器連鎖問題有無發

5、生。,14/17,產生 8-bit RISC 微控制器之設計(10/11),分支預測:遇到分支指令,微處理器無法在第四個管線階 段之前決定要不要跳躍。,解決方法: 在分支指令後多加NOP,足夠時間讓第四個管線階 段決定。,15/17,產生 8-bit RISC 微控制器之設計(11/11),這篇論文依作者所述,所能產生的特殊功能較 市面上的微控制器少,只有一個記數器和2個中 斷源,如要增加功能在C/C+程式裡描述出 來,或是在以產生的微控制器架構另外進行 Verilog code 編碼。 本論文設計重點在指令集的解碼上。,16/17,Outline,Introduction 自動產生 8-bit RISC 微控制器之設計 論文中可改進發展方向,17/17,本論文中可改進發展的方向,設計更多功能的微控制器,code 可改為 VHDL。 先在8051以C寫出某項功能,然後可轉為 VHDL/Verilog,然後模擬合成,面積大小、閘數多寡。 以FPGA設計多功能的微控制器。,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号