eda开发软件及应用

上传人:san****019 文档编号:70303800 上传时间:2019-01-16 格式:PPT 页数:34 大小:558.01KB
返回 下载 相关 举报
eda开发软件及应用_第1页
第1页 / 共34页
eda开发软件及应用_第2页
第2页 / 共34页
eda开发软件及应用_第3页
第3页 / 共34页
eda开发软件及应用_第4页
第4页 / 共34页
eda开发软件及应用_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《eda开发软件及应用》由会员分享,可在线阅读,更多相关《eda开发软件及应用(34页珍藏版)》请在金锄头文库上搜索。

1、,第五章 EDA开发软件及应用,本章以Altera公司的Quatus7.2开发软件为主,介绍EDA开发软件的使用方法,并通过具体实例讲解在该设计平台上设计输入、综合分析、布局布线、时序仿真、编程配置、调试下载等过程。,概 述,Quartus是Altera公司在Max+plus基础上推出的新一代功能强大的Windows环境下CPLD/FPGA的开发软件,该开发软件是一个完全集成化、易学易用的可编程逻辑设计环境。 Quartus软件可以在多种平台上运用。它所提供的灵活性和高效性是无可比拟的,其丰富的图形界面,辅之以完整的、可及时访问的在线文档,使初学者能够轻松掌握和使用。,5.1 Quartus软

2、件简介,5.2 Quartus软件的安装,系统配置要求为: 1.PC的主频:CPU在奔腾II400MHz以上。 2.操作系统:Windows2000, WindowsXP、 WindowsNT4.0或更新版本。 3.安装所需空间:2GB以上。 4.内存要求: 内存512MB,物理内存至少为800MB 5.显卡要求:Microsoft Windows兼容的SVGA显卡 6.通信接口:具有并行通信口或USB通信口,以方便使用 下载电缆。 Quartus7.2开发软件,要安装破解文件,和认证文件,Quartus的设计过程主要由四部分组成: 1)设计输入 2)设计编译 3)设计仿真 4)器件编程。,5

3、.3 Quartus设计输入,图所示为Quartus软件的基本设计流程。,5.3 Quartus设计输入,5.3.1. 文本设计输入方式 以一个四位全加器的VHDL语言设计为例,介绍Quartus7.2开发软件的文本设计输入的使用方法。,(1)建立设计项目 无论是哪一种输入方式,首先必须建立一个项目。所建立的项目名称要保证和设计名一致,以便于Quartus软件的编译。 1)启动Quartus7.2; 2)建立项目,选择菜单命令: File New Porject Wizard 单击 NEXT; 3)弹出建立新项目向导对话框1,如下图: 登记设计文件的地址、设计项目的名称和顶层文件实体名。 在多

4、层次系统设计中,一般以与设计项目同名的设计实体作为顶层文件。,1. 文本设计输入方式-建立项目,建立新项目向导对话框1,4)单击“Next”,弹出建立新项目向导对话框2, 用于添加顶层设计文件和其他底层设计文件等。,5)单击“Next”按钮,弹出建立新项目向导对话框 3,用于设置编程下载的目标芯片的类型和型号。,6)单击“Next”,弹出建立新项目向导对话框4, 用于设置第三方EDA工具软件的使用,可设置 为不使用。 7)单击“Next”按钮单击“Finish”按钮,完成新 设计项目的建立。,1)选择FileNew,弹出新建文件对话框: 出现 “Device Design Files”和“Ot

5、her Files”两个签项。 “Device Design Files”标签项包括VHDL及原理图等 文件类型; “Other Files”标签项包括波形图等文件类型。 选择VHDL文件类型; 2)单击“OK”按钮弹出文本编辑窗口; 在该窗口 输入四位全加器的源程序VHDL文件, 见下图。,2. 文本设计输入方式-输入文本文件,四位全加器的源程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder4b is port(cin: in std_logic; a,b

6、: in std_logic_vector(3 downto 0); s: out std_logic_vector(3 downto 0); cout:out std_logic); end adder4b; architecture art of adder4b is signal sint:std_logic_vector(4 downto 0); signal aa,bb:std_logic_vector(4 downto 0); begin aa=0,文本编辑窗口,3)输入完成后,选择菜单命令FileSaveProject,保存 整个设计项目; 同时要注意,保存的文件名要和实体名保持

7、一致。 在输入过程中,如果需要插入模块,选择菜单命 令: EditInsertTemplateVHDL中相应的 模板; 或者在空白编辑窗单击右键,选择: “Insert Template”,在对话框中选择相应的模板进行设计 。,3、生成逻辑图形符号 选择命令菜单: FileCreate /Update Create Symbol Files for Current File Quartus7.2将对该设计文件进行全程编译,同时生成对应的逻辑图形符号,此处,生成adder4b.vhd逻辑图形符号adder4b.bsf。该逻辑图形符号就像其他宏功能符号一样,可以被高层设计或者其他原理图设计文件调用

8、。,在altera/72/quartus/libriies目录下有三个子目录分别存放一种元件库。 megafunctions中主要是参数可设置的强函数元件库, 分为算术组件arithmetic(包括累加器、加法器、乘法器和LPM算术函数)、门gates。设计者可在设计时直接调用; others中主要是Max+plus元件库和OpenCore Plus硬件评估功能的IP函数; primitives中主要是基本逻辑元件库,如逻辑门、输入端、输出端、直流电源及地等基本器件。,5.3.2.原理图设计输入方式,符号元件库路径,(1)建立设计项目的方法与文本设计输入方式相同。 (2)建立原理图文件 1)选

9、择菜单命令FileNew, 选择Block Diagram/Schematic File选项, 2)单击“OK”按钮,弹出一个无名的原理图编 辑窗口,见下图。 设计者可以在此处画出自己的电路设计图。,原理图编辑窗口,(3)输入原理图符号 选择主菜单命令 EditInsert SymbolInsert Symbol Insert Symbol对话框 Project库 选中需要添加的元件符号双击。 (4)连线 对器件进行连线 在原理图编辑窗口中选择“直角网点编辑工具”或者“直角总线编辑工具”。,(5)为引脚和总线命名 1)在引脚的pin_name处双击鼠标左键,pin_name 变黑,然后输入指定

10、的名字即可 2)选中需要命名的引线,单击鼠标右键,选择右键下拉菜单properties命令 用户根据设计的需要输入总线名。 电路设计输入完成 ,选择菜单命令FileSave Project,保存整个设计项目 。,一个设计项目中,文本和原理图混合输入方式适合于层次化设计。 使用混合输入方式时应注意以下几点: 1)首先建立文本文件*.vhd或者原理图文件*.bdf。 2)将它们都生成相应的逻辑图形符号,作为被调 用的元件。 3)在图形编辑器中调入已经制好的逻辑图形符号。 4)按设计逻辑功能要求把各逻辑图形符号连接起来。,5.3.3 混合输入方式,5.4 Quartus设计编译,1 、 编译前设置

11、1)选择目标芯片。依次选择菜单命令: AssignmentsSetting,在Categeory项下选择Device。 2)选择配置器件和编程方式 单击“Device and Pin Options单击“General”, 默认设置为选择中“Auto-testart configuration after error” 单击“Configuration”标签项选择配置器件; 其配置模式可选择Active Serial(对专用的Flash技术的配置器件) 。,2、 全程编译 Quartus的全编译过程包括: 分析综合、布局布线、编译和时序仿真四个环节。 选择菜单命令: Processing Co

12、mpiler Tool 注意,在使用Quartus编译分层设计项目时,首先对每一个底层文件进行编译,然后再对上层文件进行编译。,5.5 Quartus设计仿真,设计仿真过程包括功能仿真和时序仿真,功能仿真可以验证项目的逻辑功能是否正确;时序仿真不仅可以验证项目的逻辑功能是否正确,还可测试器件最差情况下的时间关系。,1 、创建波形文件 选择菜单命令: FileNewOthers FilesVector Waveform File, 单击OK按钮。,2 、创建输入输出向量 1)依次选择 EditInsertInsert Node or Bus 2)Insert Node or Bus对话框中提供了

13、被添加 Node 或Bus的名称、类型、数值类型、数制 类型、总线宽度等属性。 3)单击“Node Finder”按钮 可以通过“”、“”、“”及“”四个按钮将 Node添加到“Selected Nodes”栏中或者从 “Selected Nodes”栏中删除。,3 、 设置仿真时间 系统默认的仿真时间为1s。 选择菜单命令 EditEnd Time出现对话框键入最终 的仿真时间。 4 、设置输入信号 编辑仿真输入信号波形时,仿真信号频率要尽可能与实际信号的工作频率相同。,仿真信号编辑窗,5.6 Quartus器件编程,1、引脚设置和下载 将输入输出端口信号锁定在目标芯片的确定引脚上,再编译下

14、载。 1)依次选择菜单命令AssignmentsAssignment Editor,弹出配置编辑窗口,在Category栏中选择pin。 2)双击配置编辑窗标签 To栏中的New 在下拉栏中选择本工程需要锁定的端口信号名;双击Location栏中的New,在下拉栏中选择对应端口信号名的器件引脚号 。 3)保存引脚锁定的信息后,必须再重新编译一次,才能将引脚锁定的信息编译进编程下载文件中。,2、器件编程下载 当时序仿真完成后,把编译生成的.sof文件下载到具体的CPLD/FPGA器件中。 首先将下载电缆的一端与电脑的并行口相连,另一端与可编程器件的电路板相连,实现在系统编程。 1)选择菜单命令T

15、ools Programmer,弹出编程器对话框窗口,直接对CPLD/FPGA进行配置,选择JTAG编程模式 在Program/Configure栏下的小方框中打勾。同时仔细核对下载文件名和文件路径,如果准确无误,单击左侧的“Add File”按钮。,2)如果是第一次使用该功能,“Hardware Setup”按钮后显示“No Hardware”,需要用户安装下载电缆。 3)在Hardware Type下拉列表中选择: Byte BlasterMV or Byte Blaster,单击OK按钮。 4) 在编程器窗口,单击“Start”按钮进行编程,当进度为100%时,表示编程成功。,第五章 结束,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号