chipscopepro详细教程(xilinx在线逻辑分析仪)

上传人:第*** 文档编号:61616873 上传时间:2018-12-07 格式:PDF 页数:45 大小:14.37MB
返回 下载 相关 举报
chipscopepro详细教程(xilinx在线逻辑分析仪)_第1页
第1页 / 共45页
chipscopepro详细教程(xilinx在线逻辑分析仪)_第2页
第2页 / 共45页
chipscopepro详细教程(xilinx在线逻辑分析仪)_第3页
第3页 / 共45页
chipscopepro详细教程(xilinx在线逻辑分析仪)_第4页
第4页 / 共45页
chipscopepro详细教程(xilinx在线逻辑分析仪)_第5页
第5页 / 共45页
点击查看更多>>
资源描述

《chipscopepro详细教程(xilinx在线逻辑分析仪)》由会员分享,可在线阅读,更多相关《chipscopepro详细教程(xilinx在线逻辑分析仪)(45页珍藏版)》请在金锄头文库上搜索。

1、1 / 45 ChipScope Pro 实例教程 宋存杰 1. ChipScope Pro 简介 ChipScope Pro 的主要功能是通过JTAG 口、在线实时地读出FPGA 的内部信号。基本 原理是利用FPGA 中未使用的BlockRAM , 根据用户设定的触发条件将信号实时地保存到这 些 BlockRAM中,然后通过JTAG 口传送到 PC 机,显示出时序波形。 一般来说, ChipScope Pro 在工作时需要在用户设计中实例化两种核:一是集成逻辑分 析仪核( ILA core ,Integrated Logic Analyzer core ) ,提供触发和跟踪捕获的功能;二是集

2、成 控制器核( ICON core ,Integrated Controller core ) ,负责 ILA 核和边界扫描端口的通信,一 个 ICON 核可以连接115 个 ILA 核。 ChipScope Pro 工具箱包含3 个工具: ChipScope Pro Core Generator(核生成器) 、 ChipScope Pro Core Inserter(核插入器)和ChipScope Pro Analyzer (分析器)。 ChipScope Pro Core Generator 的作用是根据设定条件生成在线逻辑分析仪的IP 核,包 括 ICON 核、 ILA 核、 ILA/A

3、TC2 核和 IBA/OPB 核等,设计人员在原HDL 代码中实例化这 些核,然后进行布局布线、下载配置文件,就可以利用ChipScope Pro Analyzer 设定触发条 件、观察信号波形。 ChipScope Pro Core Inserter 除了不能生成IBA/OPB核和 ILA/ATC2核以外,功能与 ChipScope Pro Core Generator 类似, 可以生成ICON 核和 ILA 核,但是它能自动完成在设计 网表中插入这些核的工作,不用手工在HDL 代码中实例化,在实际工作中用得最多。 下图为 ChipScope 的两种使用流程图,左侧为使用ChipScope

4、Pro Core Generator 流程。 右侧为使用ChipScope Pro Core Inserter 的流程。两种方法各有优缺点,但由于 ChipScope Pro Core Inserter 更方便一些,可以较好地满足大多数调试要求,建议优先掌握。 本次练习中,第3、 4 两章主要描述了ChipScope Pro Core Inserter 的流程。第5 章简要 描述了使用ChipScope Pro Core Generator 的流程。 2 / 45 2. 创建简单的ISE 工程 2.1 新建工程ISE 启动 Xilinx-ISE 。 3 / 45 新建 Project。 Fil

5、e - New Project 。 填入工程的名字以及所在路径。Next。 选择工程所对应的开发板的器件类型。Next。 4 / 45 创建源文件窗口。因为我们已有了源文件,所以这里直接点击Next。 5 / 45 添加已有的源文件到工程中。 选择源文件led.v 与 led_top.v,打开。 由于事先已经把两个源文件都放到了工程目录下,所以不用选择Copy to Project ,Next。 6 / 45 直接点击Finish,完成工程的创建。 7 / 45 选择默认的Synthesis/Imp + Simulation 即可。 OK 。 2.2 绑定管脚 在 Processes区域中,选

6、择User Constraints 下面的 Assign Package Pins,右键点击Run。 8 / 45 绑定管脚的约束,需要建立UCF 文件,点击Yes。 自动打开Xilinx PACE 软件,绑定管脚,时钟输入clock 与 B8 相连,复位信号reset_n 与 switch0L13 相连, led 的输出信号diode 与开发板上8 个 led 灯相连。 I/O Name I/O Direction Location Clock Input B8 Diode0 Output F12 Diode1 Output E12 Diode2 Output E11 Diode3 Outp

7、ut F11 Diode4 Output C11 Diode5 Output D11 Diode6 Output E9 Diode7 Output F9 9 / 45 Reset_n Input L13 Ctrl+S ,保存,在弹出框中选择XST Defalut 即可, OK。然后关闭Xilinx PACE软件。 2.3 综合 在 Processes区域中,选择Synthesize XST,右键点击Run。综合完成后, Synthesize XST 前面会出现绿色的对勾。表示综合完成且没有任何错误和警告。 10 / 45 2.4 实现 在 Processes区域中,选择Implement De

8、sign ,右键点击Run。连续执行翻译Translate、 映射 Map、布局布线Place & Route 工作。 11 / 45 2.5 产生编程文件 在 Processes区域中,选择Generate Programming File ,右键点击Run。 2.6 下载编程文件 在 Processes区域中,选择 Generate Programming File 下面的 Configure Device (iMPACT ) , 右键点击 Run。 12 / 45 打开 iMPACT 软件。选择使用JTAG 扫描链配置设备。 为 FPGA 芯片选择编程文件led_top.bit 。 启动

9、时钟切换为JtagClk。 13 / 45 另外两个直接点击Cancel 即可。 同上。 14 / 45 右键点击FPGA 芯片图标,选择编程Program。 15 / 45 点击 OK 。 下载成功。可以看到开发板上的LED 灯开始流动点亮。 16 / 45 3. 配置 ChipScope Pro Core Inserter 3.1 添加 ChipScope Core Inserter 工程文件 选择 ChipScope Definition and Connection File ,设置文件名称。 选择需要观察内部信号的源文件。 17 / 45 工程中出现led.cdc 文件。 18 /

10、45 3.3 打开 ChipScope Pro Core Inserter 打开 led.cdc 文件 19 / 45 在 ISE 中启动 Core Inserter,网表的输入输出目录是ISE 自动设置的,且其参数只能在 ISE 中改变,不能在Core Inserter 中修改。 3.4 配置 ICON 核 ICON 核是所有核和JTAG 扫描电路的通信控制器,因此首先对其进行说明,如下图所 示,要指定是否禁止在JTAG 时钟上插入BUFG 。如果选中此项,JTAG 时钟将使用普通布 线资源,而不是全局时钟布线,这样会在JTAG 时钟线上产生较大的布线延时差别,因此, 在全局时钟资源足够的情

11、况下,应该尽量使JTAG 时钟使用BUFG 资源。这里不选中此项, 使用 BUFG 资源。 20 / 45 3.5 配置 ILA 核 ILA 核的配置分为3 个部分:触发参数、捕获参数、网线连接。 Trigger Parameters 选项卡用于设置触发输入信号和触发条件判断单元。Number of Input Trigger Ports 下拉列表中可以选择ILA 核输入触发端口的数目。每个ILA 核最多可以有16 个输入触发端口。每个触发端口都有位宽(触发端口的信号线总数)、触发条件判断单元的 类型和数目等。 Capture Parameters 选项卡用于对存储深度、数据位宽、采样时刻等参

12、数的设置。 ChipScope 存储缓冲区所能够存储的最大采样值个数成为存储深度,与数据宽度共同决定了 Block RAM的占用数。如果选中Data Same As Trigger 选项,则数据与触发信号相同,这是 一种很常用的模式,可以捕获和采集触发逻辑分析仪的任何数据。在这种模式下, ILA 核省 略了数据输入端口,可以减小CLB 和布线资源的占用,但总的数据宽度不能大于256bit。 不选中 Data Same As Trigger 选项时,数据和触发信号完全独立,当采样的数据位宽小于触 发宽度时,能减少采集的数据,节省Block RAM资源。 在本次练习中, 我们选择Data Same

13、 As Trigger 这种常用模式,存储深度选择8192,在时钟上升沿采样。 完成捕获参数的设置后,Core Inserter 能够根据相应配置准确给出Block RAM的个数, 如下图左侧中Core Utilization框所示。 21 / 45 Net Connections 选项卡用于将在线逻辑分析仪的输入信号和设计中的网线连接起来。由 于目前尚未建立连接,所以Net Connections 中的所有信号都为红色显示。点击Modify Connection,会弹出 Select Net 配置界面。 利用 Select Net 对话框,可以把ChipScope 的工作时钟、触发信号、数据

14、信号与设计中 的网线连接起来,方法是在右侧选中ChipScope 的信号,在左侧下方选中需要连接的网线, 单击 Make Connections 按钮,即可完成一条信号线的连接。所有信号都连接好之后,单击 22 / 45 Ok 按钮。 在本次练习中,我们将led 设计中的count16 选择为采样时钟,而没有选择led 设计本 身的时钟信号clock。这是因为我们在设计中使用了25 位宽的计数器, 计数满一次之后,led 灯才移动一次。由于采样深度只有8192,如果使用clock 来采样,只能采样8192 个时钟周 期,这明显是不够的。根据测算,我们选择count16 为采样时钟,在8192

15、次采样后,能采 样到 led 循环 4 次。 采样时钟采样深度Led 周期数Led 周期内采样次数 Count20 8192 64 周期数128 次数 Count19 8192 32 256 Count18 8192 16 512 Count17 8192 8 1024 Count16 8192 4 2048 Count15 8192 2 4096 Count14 8192 1 8192 触发和数据端口与设计中的diode 输出信号相连。 23 / 45 应保证所有端口信号都已经连接,否则设计无法正确实现,当端口中的信号全部连接时 端口名字为黑色,否则为红色。最后,返回工程中。 24 / 45

16、 4. 启动 ChipScope Pro Analyzer 4.1 重新运行Implement Design ChipScope Core Inserter 产生的网表要被插入到原来的设计网表中,需要重新实现设计 Implement Design ,完成翻译、映射、布局布线后生成BIT 文件,下载到FPGA 中后,利用 ChipScope Pro Analyzer 分析信号波形。 以后每次通过Core Inserter 修改网表之后,都需要重新运行Implement Design ,重新生 成编程文件。 4.2 重新生成编程文件 25 / 45 4.3 运行 ChipScopeAnalyzer 选择 Analyze Design Using ChipScope ,右键点击Run。 26 / 45 ChipScope Pro Analyzer 启动后,界面如下图所示。 4.4 配置目标芯片 在常用工具栏上点击图标,初始化边界扫描链,成功完成扫描后,项目浏览器将会 列出 JTAG 链上的器件。选择我们使用的开

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 事务文书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号