寄存器实验报告过程分析

上传人:bin****86 文档编号:59985279 上传时间:2018-11-13 格式:DOCX 页数:3 大小:15.94KB
返回 下载 相关 举报
寄存器实验报告过程分析_第1页
第1页 / 共3页
寄存器实验报告过程分析_第2页
第2页 / 共3页
寄存器实验报告过程分析_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《寄存器实验报告过程分析》由会员分享,可在线阅读,更多相关《寄存器实验报告过程分析(3页珍藏版)》请在金锄头文库上搜索。

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划寄存器实验报告过程分析寄存器实验报告一、实验目的1.了解寄存器的分类方法,掌握各种寄存器的工作原理;2.学习使用VerilogHDL语言设计两种类型的寄存器。二、实验设备PC微机一台,TD-EDA实验箱一台,SOPC开发板一块。三、实验内容寄存器中二进制数的位可以用两种方式移入或移出寄存器。第一种方法是以串行的方式将数据每次移动一位,这种方法称之为串行移位(SerialShifting),线路较少,但耗费时间较多。第二种方法是以并行的方式将数据同时移动,这种方法称之为并行移位(Par

2、allelShifting),线路较为复杂,但是数据传送的速度较快。因此,按照数据进出移位寄存器的方式,可以将移位寄存器分为四种类型:串行输入串行输出移位寄存器(SerialIn-SerialOut)、串行输入并行输出移位寄存器(SerialIn-ParallelOut)、并行输入串行输出移位寄存器(ParallelIn-SerialOut)、并行输入并行输出移位寄存器(ParallelIn-ParallelOut)。本实验使用VerilogHDL语言设计一个八位并行输入串行输出右移移位寄存器(ParallelIn-SerialOut)和一个八位串行输入并行输出寄存器(SerialIn-Par

3、allelOut),分别进行仿真、引脚分配并下载到电路板进行功能验证。四、实验步骤1并行输入串行输出移位寄存器实验步骤1).运行QuartusII软件,选择FileNewProjectWizard菜单,工程名称及顶层文件名称为SHIFT8R,器件设置对话框中选择Cyclone系列EP1C6Q240C8芯片,建立新工程。2.)选择FileNew菜单,创建VerilogHDL描述语言设计文件,打开文本编辑器界面。3.)在文本编辑器界面中编写VerilogHDL程序,源程序如下:modulelxc(din,r_st,clk,load,dout);input7:0din;inputclk,r_st,l

4、oad;outputdout;regdout;reg7:0lxc1;always(posedgeclk)if(!r_st)begindoutsel00sel00sel00sel00寄存器实验报告过程分析)5downto0);endentity;architecturechooseofchoose4_1isbeginprocess(sel)isbegincaseseliswhen00=output(15downto0)output(15downto0)output(15downto0)output(15downto0)=input3(15downto0);endcase;endprocess;endarchitecture;计算机硬件实验室实验报告课程名称:1234目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 总结/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号