数字逻辑设计基础第一章_概述a1课件

上传人:小** 文档编号:59410914 上传时间:2018-11-07 格式:PPT 页数:39 大小:1.95MB
返回 下载 相关 举报
数字逻辑设计基础第一章_概述a1课件_第1页
第1页 / 共39页
数字逻辑设计基础第一章_概述a1课件_第2页
第2页 / 共39页
数字逻辑设计基础第一章_概述a1课件_第3页
第3页 / 共39页
数字逻辑设计基础第一章_概述a1课件_第4页
第4页 / 共39页
数字逻辑设计基础第一章_概述a1课件_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《数字逻辑设计基础第一章_概述a1课件》由会员分享,可在线阅读,更多相关《数字逻辑设计基础第一章_概述a1课件(39页珍藏版)》请在金锄头文库上搜索。

1、平时:30%;考试:70%,平时成绩:作业+考勤+实验,教师课件密码612345,发布上课课件,任课教师:龚一光,数字逻辑设计基础,21世纪是信息数字化的时代,数字逻辑设计是数字技术的基础,是电子信息类各专业的主要技术基础课程之一。,数字电子技术的应用非常广泛,电视技术,雷达技术,通信技术,计算机、自动控制,航空航天,教材情况的介绍,1、CDIO教学要求 构思(Conceive)、设计(Design)、实现(Implement)和运作(Operate),2、教材及参考书,3、工程设计的工具书,4、数字电路基础和EDA技术两门课程合二为一: 内容多、学时少、,5、为第一试用版教材,因时间短,该书

2、难免有错,希望同学在使用的过程中提出宝贵的意见。,课程简介,“数字逻辑设计基础”课程是重要专业基础课程,是学习微机原理、接口技术等专业课的基础,是研究数字系统设计的入门课程。 内容: (1)基础;(2)组合逻辑电路;(3)时序逻辑电路;(4)数字系统设计及EDA电子设计自动化(5)其他内容。 能力的培养: 掌握数字逻辑电路的基本理论和基本分析设计方法,培养严肃认真的科学作风、抽象思维能力和分析计算能力 在工程性设计上,综合运用知识分析和解决问题。 通过实验和课外上机的方式,深入了解和掌握数字逻辑电路的设计分析方法和电路的运用过程。,数字逻辑设计基础,第1章 概述,数字逻辑设计及应用技术发展简介

3、,数字系统设计方法简介,数字集成器件简介,EDA软件简介,HDL简介及PLD开发流程,数字电路基本特点,1.1数字逻辑设计与应用技术发展简介,1.2数字系统的设计方法简介,模型计算机逻辑框图,直接设计就是将设计看成一个整体,将其设计成为一个单电路模块,它适合小型简单的电路设计。,1、直接设计方法:,从设计的总体要求入手,自顶向下地将设计划分为不同的功能子模块,每个模块完成特定的功能,这种设计方法首先确定顶层模块的设计,再进行子模块的详细设计,而在子模块的设计中可以调用库中已有的模块或设计过程中保留下来的实例。 其最大的优点:可以事先预测系统总体的结果,优化系统。,2、自顶向下(Top-to-D

4、own)的设计方法:,1.2数字系统的设计方法简介,3、自底向上的设计方法:,将复杂的系统逐层进行功能划分和描述功能块的拓扑连接,直到能用底层模块或部件描述。当完成底层模块或部件的描述后,自下而上进行层次扩展和功能的仿真验证,从而完成整个系统的功能设计和验证,并根据底层模块或部件的几何图形和拓扑连接关系完成版图设计和验证。,直接设计法和自底向上的设计法过于依赖手工、经验以及现有的通用元器件,设计后期才能进行仿真和调试,设计思想局限,设计周期长,耗时耗力,效率低下。 自顶向下的设计方法中描述系统总功能的设计为顶层设计,描述系统中较小单元的设计为底层设计。 现代数字系统的设计中往往采用的是基于自顶

5、向下层次化的设计方法,分模块、分层次地进行设计描述。通过EDA实现。,4、三种设计方法比较:,1.3 数字集成器件简介,集成电路是20世纪60年代发展起来的一种半导体器件,它的英文名称为Integrated Circuites,缩写为IC。 数字电路从小规模、中规模、大规模发展到超大规模、巨大规模。 集成电路按制作工艺分为半导体集成电路,厚膜集成电路及混合集成电路三大类。应用最广的就是半导体集成电路,定制数字集成器件 PLD可编程逻辑器件,1、中小规模数字集成电路,TTL电路 CMOS电路 BiCMOS集成电路,又称双极型集成电路,其品种分为六大类: 74(标准) 74S(肖特基) 74LS(

6、低功耗肖特基) 74AS(先进肖特基) 74ALS(先进低功耗肖特基)、74F(高速) 它具有速度高、驱动能力强等优点,但其功耗较大,集成度相对较低。,4000系列及74系列的高速CMOS电路。 其中74系列的高速CMOS电路又分为三大类: HC为CMOS工作电平; HCT为TTL工作电平(它可与74LS系列互换使用); HCU适用于无缓冲级的CMOS电路。 74系列高速CMOS电路的逻辑功能和引脚排列与相应的74LS系列的品种相同,工作速度也相当高,功耗大为降低。,它综合了TTL和MOS集成电路的优点:高速、低耗、大驱动能力、低电源电压的方向发展。 BiCMOS输入电路采用CMOS工艺,其输

7、出端采用双极型推拉式输出方式,既具有CMOS的优势,又具有双极型的长处,已成为集成门电路的新宠。,2、可编程逻辑器件PLD,PLD器件向更高(密度),更强(功能)和更加方便的在线可编程的方向发展,MCU(微控单元) MPU(微处理单元) DSP(数字信号处理 AD或DA等,以软硬核形式“四合一”于PLD器件之中 组成片上系统,EDA电子设计自动化 由于电子技术的发展,可编程逻辑器件的性能不断地完善,对数字系统设计因层次的不同,可以直接面向用户,按照系统的行为和功能要求,自上面下地完成相应的设计,其过程为:,功能描述,综合,优化,仿真,验证,系统生成,由计算机自动完成,EDA电子设计自动化,硬件

8、描述语言:VHDL、VerlogHDL、AHDL等,EDA工作平台:QuartusII等,系统生成,可编程器件,1、Quartus II概述,Quartus II是Altera公司提供的FPGA/CPLD开发集成环境(开发平台),Quartus II设计流程,KONXIN,1.4 EDA软件简介,2、ISE 概述,ISE是Xilinx公司提供的FPGA/CPLD开发集成环境,ISE设计流程,KONXIN,ModelSim SE 6.1b是优秀的HDL语言第三方仿真器,具有最友好的调试环境,支持VHDL和Verilog混合仿真的仿真器。其优点为: 1)作FPGA/ASIC设计的RTL级和门级电路

9、仿真的首选。 2)编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段。 3)全面支持VHDL和Verilog语言的IEEE(电气电子工程师协会)标准,支持C/C+功能调用和调试。具有快速的仿真性能和最先进的调试能力,全面支持UNIX(包括64位)、Linux和Windows平台。,3、Modelsim概述,1.5 HDL简介及PLD的开发流程,常用硬件描述语言有: VHDL源于美国国防部的VHSIC(超高速集成电路硬件描述语言),1、常用硬件描述语言简介,HDL(Hardware Description Language),为硬件描

10、述语言。HDL是用文本的形式描述硬件电路的功能、信号连接关系以及时序关系。但功能更强,可以进行大规模,多个芯片的数字系统的设计。,Verilog源于集成电路(ASIC)的(仿真)设计,ABEL则来源于可编程逻辑器件的设计。,2、VHDL和Verilog硬件描述语言特点,1)VHDL语言及特点,VHDL是IEEE标准语言,其特点如下:,(1) 在硬件设计时,可以分层进行描述;,(2)每个需要设计的元件都有完善的定义接口(连接到其他元器件的电路),有精确的仿真性能指标;,(3)性能指标既可以用算法定义,也可以用硬件结构定义器 件的操作实现;,(4)VHDL语言具有并行性,定时和时钟方式都可以建模,

11、处理同步时序逻辑电路的方式解决异步电路。,(5)VHDL语言所设计的逻辑电路和定时都可以仿真。,2)Verilog HDL语言及特点,(1) Verilog HDL语言是在C语言基础上发展的一种硬件描述语言,也是IEEE标准语言。,(2) Verilog HDL语言的特点:保留了C语言简洁、高效的编程风格,继承了C语言的一些算法和结构,提供了扩展的建模能力,可用于门级、算法级和开关级的多种设计层次的数字系统建模。,3)VHDL和Verilog HDL语言的比较,(1)VHDL语言的规则严谨,有的语法可以编译和仿真,但不能综合,因为综合是对特定器件进行的。,(2) Verilog HDL语法宽松

12、,有时对同一个设计在不同的EDA平台上可能会出现不同的结果。,2、PLD的开发流程,用VHDL/VerilogHDL语言开发PLD/FPGA的完整流程有设计输入、综合、适配、仿真、编程下载、硬件测试等.,设计输入,设计输入的方式,状态图输入,波形图输入,原理图输入,HDL文本输入,用绘图的方法,根据电路的控制条件和一定的转换方式,在EDA工具的状态图编辑器上绘出状态图,在EDA软件中绘出目标电路的输入和输出时序波形图,EDA工具根据此波形完成目标电路的设计,原理图由逻辑器件(符号)和连接线构成,图中的逻辑器件可以是EDA软件库中预制的功能模块,与传统的计算机软件语言编辑输入基本一致。就是将使用

13、了某种硬件描述语言(HDL)的电路设计文本,进行编辑输入,综合,综合就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化和转换,最终获得门级电路甚至更底层的电路描述网表文件。综合过程是将软件设计的HDL描述与硬件结构挂钩,是将软件转化为硬件电路的关键步骤 注意,逻辑综合,要求HDL源文件中的语句必须都是可综合的。其过程是依据给定的硬件结构组件和约束控制条件进行编译,优化,转换和综合。 综合器工作前,必须给定最后实现的硬件结构参数,将软件描述与给定的硬件结构用网表文件的方式对应起来,成为映射关系,适配,将综合后生成的网表文件针对

14、某一具体的目标器件进行逻辑映射操作,称为适配。包括底层器件配置、逻辑分割、逻辑优化、逻辑布局布线操作,这些过程由适配器完成,适配器也称结构综合器,它的功能为: 由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。 适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时产生可用于编程的文件。,EDA的仿真,EDA仿真有时序和功能仿真两种: 时序仿真是接近真实器件运行特性的仿真,仿真文件中已包含了器件硬件特性参数,所以,仿真精度高。 功能仿真是直接对VHDL、原理图描述或其他描述形式的逻辑功能

15、进行测试模拟,以了解其实现的功能是否满足原设计的要求的过程,仿真过程不涉及任何具体器件的硬件特性。 对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真。,编程下载,对设计输入的图形或文本进行适配之后,要把产生的下载或适配文件通过JTAG接口或ISP接口下载到FPGA或CPLD中。通常以上过程可以在PLD厂家提供的开发工具(如Quartus II,ISE)中完成, 对不同类型器件的下载操作用语不同。对CPLD的下载称为编程(Program),对FPGA中的SRAM进行直接下载的方式称为配置(Configure),对FPGA的专用配置ROM的下载仍称为编程。,硬件测试,最后是将含有载入了设

16、计的FPGA或CPLD的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。,3、八位模型计算机设计实例,1)经典冯.诺依曼计算机框架,2)冯.诺依曼计算机的特点,采用二进制表示机器指令和数据; 硬件系统由运算器、控制器、存储器、输入设备和输出设备五大部分组成; 程序和数据预先存放在存储器中,存储器按地址编址; 操作时根据程序中指令的执行顺序,从存储器中取出指令或数据,由控制器解释,运算器完成运算。,3)模型计算机逻辑框图,程序计数器的作用是确定下一条指令的地址。,地址寄存器MAR用来保存当前CPU所访问的主存储器单元的地址,数据寄存器是用来暂时存放由主存储器读出的一条指令或一个数据字。,累加器A是一个通用寄存器,当运算器的算术逻辑单元ALU执行算术或逻辑运算时,为算术逻辑单元ALU提供一个工作区。,算术逻辑单元ALU是数据加工处理部件,用来实现基本的算术、逻辑运算功能。,指令寄存器IR用来保存当前正在执行的一条指令。,节拍发生器用于产生

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号