第四章 数字控制系统梯形图程序设计方法

上传人:飞*** 文档编号:5723695 上传时间:2017-08-07 格式:PPT 页数:25 大小:2.18MB
返回 下载 相关 举报
第四章 数字控制系统梯形图程序设计方法_第1页
第1页 / 共25页
第四章 数字控制系统梯形图程序设计方法_第2页
第2页 / 共25页
第四章 数字控制系统梯形图程序设计方法_第3页
第3页 / 共25页
第四章 数字控制系统梯形图程序设计方法_第4页
第4页 / 共25页
第四章 数字控制系统梯形图程序设计方法_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《第四章 数字控制系统梯形图程序设计方法》由会员分享,可在线阅读,更多相关《第四章 数字控制系统梯形图程序设计方法(25页珍藏版)》请在金锄头文库上搜索。

1、4.1 梯形图的经验设计法,第4章 数字量控制系统梯形图程序设计方法,梯形图的经验设计法-在典型电路的基础上,根据被控对象的具体要求,进行试探性编程设计,并不断修改直到达到满意的结果。,4.1.1 有记忆功能的电路,例:异步电机启动-保持-停止电路,对应的梯形图:,逻辑时序图:,4.1.2 定时器应用电路,例1 延时/接通/延时/断开电路的实现,延时,接通,延时,断开,例2 长延时电路的实现,S7-200的定时器最长延时时间为3276.7秒(约55分钟),如果要延时更长的时间可以利用下述方案实现。,方案1:用计数器设计长延时(最长500小时),注:SM0.4能产生周期为1分钟 的时钟脉冲,SM

2、为特殊标志位,可提供一些状态和控制功能,方案2:用计数器扩展定时器定时范围 (最长10000小时),逻辑时序图,例3 周期与占空比可调的振荡电路(闪烁电路)的实现,另外SM0.5可提供周期为1秒占空比为0.5的时钟脉冲,也可用它来实现闪烁电路,改变两定时器的设定值可改变周期和占空比,(实验),4.1.3 经验设计法举例,小车自动往复运动控制的实现,继电器控制电路图,梯形图,练习:用经验法画出实现下面波形的梯形图,4.2 根据继电器电路图设计梯形图的方法,4.2.1 基本方法,基本思路:将继电器电路图转化为梯形图,步骤:,1)了解被控对象,掌握控制系统工作原理;2)确定PLC的输入和输出,定义输

3、入和输出的位地 址,画出PLC外部接线图;3)确定继电器电路图中的中间继电器对应的PLC位 地址、时间继电器对应的PLC位定时器的地址;4)根据上述对应关系画出梯形图。,例:异步电机启动和自动加速电路的梯形图设计,4.2.2 注意事项1. 应遵守梯形图语言中的语法规定2设置必要的中间单元3尽量减少PLC的输入信号和输出信号4设立外部联锁电路5梯形图的优化设计6外部负载的额定电压,4.3 顺序控制设计法与顺序功能图,4.3.1 顺序控制设计法,顺序控制设计法的基本思路: 根据被控对象的工艺过程画出顺序功能图,然后 根据顺序功能图画出梯形图。,注:顺序功能图是描述控制系统控制过程、功能、 特性的一

4、种图形,顺序控制设计法是IEC推荐的好方法,先画出顺序功能图,梯形图,1步的基本概念,顺序控制设计法将系统的工作周期划分为若干个顺序连接的阶段,这些阶段称为步。,在PLC中用存储器M和顺序控制继电器S来代表步。,第一步,第二步,第三步,初始步,2初始步,与系统初始状态(等待启动命令的阶段)对应的步称为初始步。,每个顺序功能图至少有一个初始步,初始步用双线框表示,例:,3与步对应的动作或命令,如果一步有几个动作用在顺序图中用下述画法表示:,画顺序图时还可使用动作修辞词,可使用的动作修辞词见教材表4-1。,4活动步,当系统正处于某一步所在阶段,该步处于活动状态,则称该步为活动步。当步处于活动状态时

5、,相应的动作被执行。,4.3.3 有向连线与转换条件,代表各步的方框按它们成为活动步的次序可用有向连线来表示。,1. 有向连线,2. 转换与转换条件,转换用与有向连线垂直的短线来表示。,使系统由当前步进入到下一步的信号称为转换条件,练习:画出实现下面波形的顺序功能图,4.3.4 顺序功能图的基本结构,顺序功能图有单序列、选择序列、并行序列三种结构,单序列,选择序列,并行序列,复杂顺序功能图举例,启动按钮为I1.0,4.3.5 顺序功能图中转换实现的基本规则,1转换实现的条件(1) 该转换所有的前级步都是活动步。(2) 相应的转换条件得到满足。 2转换实现应完成的操作(1) 使所有的后续步变为活动步。(2) 使所有的前级步变为不活动步,3绘制顺序功能图时的注意事项,(1) 两个步绝对不能直接相连,必须用一个转换将它们分隔开。 (2) 两个转换也不能直接相连,必须用一个步将它们分隔开。(3) 不要漏掉初始步。(4) 在顺序功能图中一般应有由步和有向连线组成的闭环。,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号