电子时钟数电实习报告

上传人:第*** 文档编号:56941235 上传时间:2018-10-17 格式:DOCX 页数:18 大小:3.70MB
返回 下载 相关 举报
电子时钟数电实习报告_第1页
第1页 / 共18页
电子时钟数电实习报告_第2页
第2页 / 共18页
电子时钟数电实习报告_第3页
第3页 / 共18页
电子时钟数电实习报告_第4页
第4页 / 共18页
电子时钟数电实习报告_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《电子时钟数电实习报告》由会员分享,可在线阅读,更多相关《电子时钟数电实习报告(18页珍藏版)》请在金锄头文库上搜索。

1、 数字电子实习报告数字电子实习报告报时式数字钟报时式数字钟姓 名: 张悦班 级: 通信 14-2学 号: 201416060231院 系: 电气信息工程学院指导教师: 邹彦实习日期: 2016 年 5 月 16 日20 日北华大学目 录一、 实习目的和任务.1二、 软件介绍.2三、 电路设计.4四、 原理图与仿真结果.5五、 实习体会.14六、 参考文献.15七、 教师评语.161一、一、 实习目的和任务实习目的和任务1、实验目的、实验目的1)熟悉 QuartusII 软件的使用, 初步掌握完成电路设计的基本步骤: 建立工程、 输入、编译、仿真和下载 。2)初步掌握图形输入的基本方法。3)掌握

2、用数字可编程逻辑器件实现基本电路的设计过程和设计方法,如:报时式数字钟的设计。4)培养学生严谨、细致、实干的科学作风,要求必须独立完成设计电路、完成调试及总结报告的全过程。2、实验任务、实验任务利用 QuartusII 设计并实现报时式数字钟。要求如下:1)数字钟能够显示 24 进制时、60 进制分、60 进制秒。2)数字钟能够于每小时的 59 分 51 秒开始进行报时,往后每两秒进行一次报时,一共进行五次报时且最后一声发高音。3)采用层次化、原理图设计、并对各模块进行功能仿真;4)对所完成的设计进行编译、综合、编程下载,并完成硬件调试。2二、软件介绍二、软件介绍Altera 的 Quartu

3、sII 可编程逻辑软件属于第四代 PLD 开发平台。 Altera 是世界上最大可编程逻辑器件的供应商之一, QuartusII 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。QuartusII 设计软件是业界唯一提供 FPGA 和固定功能 HardCopy 器件统一设计流程的设计工具,界面友好,使用便捷, 在 QuartusII 上可以完成设计输入、 元件适配、 时序仿真和功能仿真、 编程下载等整个设计流程。(1)开放的界面QuartusII 具有开放性、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、Veri

4、logHDL 以及 AHDL(AlteraHardwareDescription Language)等多种设计输入形式 (本书主要针对原理图输入的设计方法进行讲解) , 内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。(2)与结构无关QuartusII 支持 Altera 公司的 MAX3000A 系列、 MAX7000 系列、MAX9000 系列、 ACEX1K 系列、APEX 20K 系列、APEX II 系列、FLEX 6000 系列、FLEX 10K 系列,支持 MAX7000/MAX3000 等乘积项器件。支持 MAX II CPLD 系列、Cycl

5、one 系列、Cyclone II、StratixII 系列、 StratixGX 系列等。 此外, QuartusII 通3过和 DSPBuilder 工具与 Matlab/Simulink 相结合,可以方便地实现各种 DSP 应用系统;支持 Altera 的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。 使用者无需精通器件内部的复杂结构,只需用自己熟悉的设计输入工具,如原理图或硬件描述语言进行设计。QuartusII 将这些设计转换为目标结构所要求的格式,设计处理一般在数分钟内

6、完成。(3)完全集成化QuartusII 的设计输入、 处理与较验功能全部集成在统一的开发环境下, 这样可以加快动态调试、缩短开发周期。(4)丰富的设计库QuartusII 提供丰富的库单元供设计者调用, 支持 IP 核, 包含了 LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。QuartusII 软件还允许设计人员添加自己认为有价值的宏功能模块,充分利用这些逻辑功能模块,可大大减少设计工作量。(5)模块化工具设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。43、电路设计电路设计1启动 QuartusII

7、 软件2启动 FileNewNew Quartus II Peoject 菜单,建立新工程。3新建原理图文件,打开原理图编辑器,进入原理图编辑状态。4按照所设计的原理图在编辑区内画出,进行编译。完整电路图如图所示。5按照实验箱外设对照表锁定管脚并下载到目标芯片。(1 1)报时式数字钟电路设计)报时式数字钟电路设计时钟电路由防抖动模块;分频模块;报时模块;时、分、秒计数模块;动态显示模块 LED_display 共 4 个模块组成。各模块组成报时式数字钟电路如图一所示。5图一、报时式数字钟电路原理图4、原理图与仿真结果原理图与仿真结果(1)防抖动模块防抖动模块图二 A、CLOCK_I 防抖动电路

8、原理图6图二 B、CLOCK_I 防抖动电路封装图(2)分频模块分频模块7图三 A、 CLOCK_Q 原理图图三 B、 CLOCK_Q 封装图(3)时间计数器模块时间计数器模块8时间计数器模块由 24 进制时、60 进制分、60 进制秒计数器及一个数据选择器控制模块组成。1 1)2424 进制时计数器进制时计数器24 进制时计数器由两片 74SL160 并联使用置数法构成,电路原理图如图四所示。图四 A、CLOCK_H 时计数器电路原理图图四 B、 CLOCK_H 时计数器电路分装图2 2)6060 进制分计数器进制分计数器960 进制分计数器由两片 74SL160 并联使用置数法构成,电路原

9、理图如图五所示。图五 A、CLOCK_M 分计数器电路原理图图五 B、CLOCK_M 分计数器封装图3)60 进制秒计数器进制秒计数器1060 进制秒计数器由两片 74SL160 并联使用置数法构成,电路原理图如图六所示。图六 A、CLOCK_S 秒计数器电路原理图图六 B、CLOCK_S 秒计数器电路封装图4)控制模块控制模块11控制模块控制整个时钟系统工作,调时,清零等功能,原理图如图七。图七 A、CLOCK_E 控制模块电路原理图图七 B、CLOCK_E 控制模块电路封装图(4)报时模块报时模块12报时模块用来控制高(CLK1K) 、低(CLK500)音信号的输出报时电路原理图如图八所示

10、:图八 A、CLOCK_Y 报时模块电路原理图图八 B、CLOCK_Y 报时模块电路封装图(5 5)动态显示模块)动态显示模块 LED_displayLED_displayLED_disply 为 6 位数码管的动态显示电路,由六进制计数器13(74161) 、8 选 1 数据和 7 段译码器(7448)组成。其电路原理如图九所示。Display_1Display_2 图九 A、Display 显示模块原理图14图九 B、Display 显示模块封装图实验结果实验结果连接硬件电路,将分配引脚的电路图编译之后下载到试验箱中,实物效果如下图所示:实验结果显示15五、实习体会五、实习体会这学期,我们

11、进行了为期一周的数字电子技术实习,对于数字电子我们不仅开设课程、实验、这次还进行了实习。这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。了解了更多电子元件的工作原理,如:74LS160、74LS161、74LS138、74LS148、74LS151、74LS194 等。同时也发现自己对数电知识和电子设计软件掌握得不够。其次在此次设计过程中由于我们频繁的使用一电子设计软件如:QuartusII 。因此使我进一步熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。我认识到:数电设计每一步都要细心认真,因为任何一步出错的话,都会导致后面的环节发

12、生错误。在设计过程中遇到了一些问题,尤其是在下载的时候,总出现失败,使得我查找各种相关资料,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。这一课程设计,使我向更高的精神和知识层次迈向一大步。在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。16六、参考文献六、参考文献1周维芳 白庆华 曲萍萍主编.电子技术实验.中国广播影视出版社,2014 年 8 月.2康光华主编.电子技术基础.数字部分(第六版).北京:高等教育出版社,2013.3王辉主编.MaxplusII 和 QuartusII 应用与开发技巧M北京:机械工业出版社,2009.17七、教师评语(单起一页)七、教师评语(单起一页)教师评语:成绩:教师签字:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号