重庆大学通信学院实验班语音通信系统设计-通信原理

上传人:第*** 文档编号:55707833 上传时间:2018-10-04 格式:PDF 页数:17 大小:1.02MB
返回 下载 相关 举报
重庆大学通信学院实验班语音通信系统设计-通信原理_第1页
第1页 / 共17页
重庆大学通信学院实验班语音通信系统设计-通信原理_第2页
第2页 / 共17页
重庆大学通信学院实验班语音通信系统设计-通信原理_第3页
第3页 / 共17页
重庆大学通信学院实验班语音通信系统设计-通信原理_第4页
第4页 / 共17页
重庆大学通信学院实验班语音通信系统设计-通信原理_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《重庆大学通信学院实验班语音通信系统设计-通信原理》由会员分享,可在线阅读,更多相关《重庆大学通信学院实验班语音通信系统设计-通信原理(17页珍藏版)》请在金锄头文库上搜索。

1、 重庆大学重庆大学本科本科生专业实验教学生专业实验教学 实验报告书实验报告书 实验课程名称:实验课程名称: 语音通信系统设计语音通信系统设计 实验指导教师:实验指导教师: 吴玉成吴玉成 学学 院:院: 通信工程通信工程 专专 业:业: 通信工程通信工程 学学 号:号: 2011XXX 姓姓 名:名: XXX 实验日期:实验日期: 2014 年年 1 月月 成成 绩:绩: 目录 1.实验目的 . 1 2.实验仪器 . 1 3.实验要求 . 1 4.实验原理和内容 . 2 4.1 利用 Simulink 仿真软件实现一语音通信系统 . 2 4.1.1 Simulink 仿真软件实现语音通信系统的原

2、理框图 . 2 4.1.2 simulink 中画出的原理框图模块 3 4.1.3 音频采样模块 . 3 4.1.4 PCM 原理仿真模块 . 3 4.1.5DQPSK 调制解调模块 . 4 4.2 simulink 系统仿真结果 . 4 4.2.1 发送和接收端的音频信号 . 4 4.2.2 发送端和接收端的星座映射图 . 5 4.2.3 发送端与接收端信号的功率谱图 . 6 4.3 利用 VHDL 在 Quartus II 软件中实现 DQPSK 的调制与解调 . 6 4.3.1 时钟配置及随机序列发生器 . 7 4.3.2 串并转换及并串转换模块 . 7 4.3.3 格雷映射模块 . 8

3、 4.3.4QPSK 映射模块及解 QPSK 模块 8 4.3.5 延时及误码统计模块 . 9 4.4VHDL 系统仿真 10 4.4.1 时钟配置及随机序列生成波形 . 10 4.4.2 系统误码统计仿真波形 . 10 5.附 VHDL 程序 . 10 1 语音通信系统设计语音通信系统设计 1.实验目的实验目的 1)了解并掌握 simulink 的系统设计及仿真; 2)熟悉语音通信系统的原理并且能够设计系统及系统验证; 3)熟悉并掌握 DQPSK 调制解调; 4)熟悉使用 VHDL 软件; 5)利用 VHDL 软件设计实现 DQPSK 的调制解调; 2.实验仪器实验仪器 MATLAB 软件;

4、 Quartus ii 软件; PC 机一台; 3.实验要求实验要求 1、利用 Simulink 仿真软件实现一语音通信系统,框图如下: 音频 文件 采样PCM 编码并串 转换串并 转换格雷差 分编码星座 映射数字 上变频数字 下变频定时 同步AWGN 信道抽样 判决并串 转换载波 同步内插 成型滤波DAAD并串 转换基带 解调输入音频文件,经采样量化编码后,进行 DQPSK 调制,经 AWGN 传输,接收端经数字下变频、基带解调后进行抽样判决输出,并通过音频输出设备播放。 2、利用 VHDL 在 QuartusII 软件中实现 DQPSK 的调制解调。 (实验中,AD、DA 可省略;载波频率

5、为 10.7MHz,利用 NCO 产生;8 倍过采样,滚降系数为 0.25) 。 2 4.实验实验原理和原理和内容内容 4.1 利用利用 Simulink 仿真软件实现一语音通信系统仿真软件实现一语音通信系统 4.1.1 Simulink 仿真软件实现仿真软件实现语音通信系统语音通信系统的原理框图的原理框图 Simulink 仿真软件实现语音通信系统的原理框图如下: 音频 文件 采样PCM 编码并串 转换串并 转换格雷差 分编码星座 映射数字 上变频数字 下变频定时 同步AWGN 信道抽样 判决并串 转换载波 同步内插 成型滤波DAAD并串 转换基带 解调图 4-1-1 Simulink 仿真

6、软件实现语音通信系统的原理框图 说明:音频文件经过采样后,经过 PCM 编码,再经过并串和转串并换完成缓冲功能,执行后的并行码在经过格雷差分编码、星座映射、数字上变频、D/A转化形成了在信道中传输的信号, 经过 AWGN (加性高斯白噪声) 信道传输后,再经过以上步骤的逆过程,得到与输入信号误差很小的输出语音信号,并通过音频设备进行输出。 3 4.1.2 simulink 中画出的原理框图模块中画出的原理框图模块 图 4-1-2 原理框图模块 4.1.3 音频采样模块音频采样模块 音频采样模块实现的功能是: 对我们已经处理好的 WAV 格式采用 PCM 标准的8K进行采样, 可以得到一个新的W

7、AV文件, 以便下面即将进行的PCM编码。 4.1.4 PCM 原理仿真模块原理仿真模块 图 4-1-3 PCM 原理仿真模块 PCM 模块实现的功能是,首先进行归一化,经过零电平判决形成极性码;再由 abs 模块进行取模处理; 在经过 A 律 13 折压缩编码、 127 倍增益、 量化、 Integer to Bit Converter(整数数据转位数据)后变为量 7 位二进制数据,再结合最初的极性码合并为 8 位二进制数据,及输出 8 位二进制数据。 4 4.1.5DQPSK 调制解调模块调制解调模块 DQPSK(Differential Quadrature Reference Phas

8、e Shift Keying) ,四相相对相移键控,是北美和日本所使用第二代移动通信的调制标准。此为多进制调制且M=4,它规定了四种相位,分别为/4,/4+/2,/4+,/4+3/2 。我们得到理想 QPSK 星座图如下所示: 图 4-1-4 理想 QPSK 星座图 在现代数字通信中,由于基带信号的频谱范围都比较宽,为了让信号在带限信道中传输,需要在发送端把信号经过成型滤波器进行带限,由此就会引起码间干扰。为了使传输误码率足够小,必须最大限度的减小码间干扰。只要求待定时刻的波形幅值无失真传送, 而不必要求整个波形无失真。 根据奈奎斯特第一准则,如果信号经过传输后真个波形发生了变化,只要其特定点

9、的抽样值保持不变,那么用再次抽样的方法,仍然可以准确无误的恢复原始信号。满足奈奎斯特第一准则的滤波器有很多种,最常用的就是升余弦滚降滤波器。此实验中我们采用平方根滚降升余弦滤波器方法。此滤波器的参数为: (1) 8 倍过采样; (2)滚降系数为 0.25。 4.2 simulink 系统仿真结果系统仿真结果 4.2.1 发送和接收端的音频信号发送和接收端的音频信号 音频信号经抽样量化编码后变为数字信号,数字信号在传输的过程中会发生延迟,并且传输系统本身也存在延迟,为了得到与发送端相同的信号,在接受端对接收到的信号进行延时调整, 发送端信号波形以及经过延时调整后的接收端波形如下: 5 图 4-2

10、-1 发送端信号波形 由上图可以得出,接收端成功接收了发送的信号。 音频文件的模拟信号波形的发送与接收如下图所示:图 4-2-2 音频文件的模拟信号波形的发送与接收 接收端波形和发送端波形基本一致,因此此系统实现了音频文件的传输。 4.2.2 发送端和接收端的星座映射图发送端和接收端的星座映射图 图 4-2-3 发送端的星座映射图 6 图 4-2-4 接收端的星座映射图 4.2.3 发送端与接收端信号的功率谱图发送端与接收端信号的功率谱图 图 4-2-5 发送端与接收端信号的功率谱图 由图可以得出接收到的音频文件的功率和发送的音频文件的功率基本一致,系统减小了信号传输过程中的噪声,实现了信号的

11、传输,也验证了基带调制和DQPSK 的调制原理。 4.3 利用利用 VHDL 在在 Quartus II 软件中实现软件中实现 DQPSK 的调制与解调的调制与解调 利用 VHDL 在 QuartusII 软件中实现 DQPSK 的调制解调(实验中,AD、DA可省略; 载波频率为 10.7MHz, 利用 NCO 产生; 8 倍过采样, 滚降系数为 0.25) 。 用 VHDL 实现语音通信系统的仿真,要编写 VHDL 语言实现各个功能的模块,如用 simulink 软件实现语音通信系统一样,要有串并转换及并串转换模块、格雷7 映射模块、QPSK 映射模块及解 QPSK 模块、延时及误码统计模块

12、等功能模块。具体的模块如下: 4.3.1 时钟配置及随机序列发生器时钟配置及随机序列发生器 图 4-3-1 时钟配置 图 4-3-2 随机序列发生器 4.3.2 串并转换及并串转换模块串并转换及并串转换模块 图 4-3-3 串并转换模块 8 图 4-3-4 并串转换模块 4.3.3 格雷映射模块格雷映射模块 图 4-3-5 格雷映射模块 4.3.4 QPSK 映射模块及解映射模块及解调调 QPSK 模块模块 数字信号的调制方式中QPSK四相移键控是最常用的一种卫星数字信号调制9 方式,它具有较高的频谱利用率、较强的抗干扰性、在电路上实现也较为简单。 图 4-3-6 QPSK 映射模块及解 QP

13、SK 模块 4.3.5 延时及误码统计模块延时及误码统计模块 图 4-3-7 延时统计模块 图 4-3-8 误码统计模块 10 4.4VHDL 系统仿真系统仿真 4.4.1 时钟配置及随机序列生成波形时钟配置及随机序列生成波形 图 4-4-1 时钟配置及随机序列生成波形 4.4.2 系统误码统计仿真波形系统误码统计仿真波形 图 4-4-2 系统误码统计仿真波形 5.附附 VHDL 程序程序 - - File : PN7.vhd - Version : v1.0 - Author : Tangminlu - Date : 2012.12.05 11 - Description : 模拟产生 PN

14、 序列 - - Revision Number : - Modifier : - Date : - Desccription : - library ieee; use ieee.std_logic_1164.all; entity PN7 is generic(Dinit:std_logic_vector(6 downto 0):= “1010101“); port(rst,enb,clk : in std_logic; pnout : out std_logic; drive:out std_logic ); end PN architecture rtl of PN7 is signal df : std_logic_vector(6 downto 0); signal start : std_logic; begin process(rst,clk) begin if(rst=1)then df=1 then cntS2P0); Data2Buffer0); drive0); dataQout 0); drive dataIout dataIout dataIout dataIout null; end case; else drive = 0; end if; end if; end process; end;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号