软件无线电基础实验平台20130309范玉衡ppt课件

上传人:bin****86 文档编号:55418137 上传时间:2018-09-29 格式:PPT 页数:46 大小:2.58MB
返回 下载 相关 举报
软件无线电基础实验平台20130309范玉衡ppt课件_第1页
第1页 / 共46页
软件无线电基础实验平台20130309范玉衡ppt课件_第2页
第2页 / 共46页
软件无线电基础实验平台20130309范玉衡ppt课件_第3页
第3页 / 共46页
软件无线电基础实验平台20130309范玉衡ppt课件_第4页
第4页 / 共46页
软件无线电基础实验平台20130309范玉衡ppt课件_第5页
第5页 / 共46页
点击查看更多>>
资源描述

《软件无线电基础实验平台20130309范玉衡ppt课件》由会员分享,可在线阅读,更多相关《软件无线电基础实验平台20130309范玉衡ppt课件(46页珍藏版)》请在金锄头文库上搜索。

1、第二讲 软件无线电基础实验平台,范玉衡 科B257A,1/46,主要内容,软件无线电技术实验平台介绍 基本工具的介绍(CCS/ISE等) 基础的AD/DA实验,2/46,软件无线电技术实验平台介绍,实验硬件平台 软件无线电实验箱 基带信号发生器 DSP仿真器 FPGA仿真器 实验软件平台 CCS、ISE、MATLAB 外围设备 信号发生器、示波器,3/46,软件无线电技术实验平台介绍,4/46,软件无线电技术实验平台介绍,DSP,FPGA,ARM,RF,AD DA,信号接收发送接口,JTAG 仿真端口,功能扩展接口,5/46,DSP的开发环境 CCS,CCS的结构,8/46,DSP的开发环境

2、 CCS,CCS,9/46,DSP的开发环境 CCS,CCS的主要特点 集成可视化代码编辑界面,可直接编写C,汇编、.H文件、.cmd文件等。 集成代码生成工具,包括C编译器。 基本调试工具,如装入执行代码(.OUT文件),寄存器窗口,存储器窗口,反汇编窗口,变量窗口等,支持C源代码级调试。 支持多DSP调试 断点工具,包括硬件断点、数据空间读/写断点,条件断点(使用GEL编写表达式)等等。,10/46,DSP的开发环境 CCS,CCS的主要特点 探针工具(probe points),可用于算法仿真,数据监视等。 剖析工具(profile points),可用于评估代码执行的时钟数。 数据的图

3、形显示工具,可绘制时域/频域波形,眼图,星座图,图象等,并可自动刷新(使用Animate命令运行)。 提供GEL工具,令用户可以编写自己的控制面板/菜单,从而方便直观地修改变量,配置参数等。,11/46,DSP的开发环境 CCS,CCS的主要特点 支持RTDX技术,利用该技术可在不中断目标系统运行的情况下,实现DSP与其他应用程序(OLE)实现数据交换。 开放式的plug-ins技术,支持其它第三方的ActiveX插件,DSP目标系统以及仿真器。 提供DSP/BIOS工具,利用该工具可增强对代码的实时分析能力。如分析代码执行的效率,调度程序执行的优先级,方便管理或使用系统资源(代码/数据占用空

4、间,中断服务程序的调用,定时器使用等等)。,12/46,DSP的开发环境 CCS,CCS安装设备驱动程序 启动“Setup CCStudio”应用程序安装CCS的设备驱动程序。 选择“Install a Device Driver”项,添加设备驱动程序。 选择一个驱动程序,然后点“Add to System”,将该驱动程序添加到CCS中。 注意选择仿真器硬件使用的I/O口。 将配置保存后,便可以启动CCS。,13/46,DSP的开发环境 CCS,启动“Setup CCS”应用程序,添加设备驱动程序,选择一个驱动程序,14/46,DSP的开发环境 CCS,利用CCS开发DSP程序流程 打开或新建

5、工程文件,用C或汇编完成DSP程序代码的建立。 利用“build all”命令完成编译,连接。 将生成的.out文件装入DSP的片内或外部扩展存储器,并完成调试。 分析,统计或跟踪代码,确保算法的准确性、实时性和高效率。 以上所有步骤都在CCS环境下完成 !,15/46,DSP的开发环境 CCS,CCS中代码生成工具的使用 C编译器(C compiler):必备 汇编器(assembler):必备 链接器(linker):必备 运行支持库程序(runtime-support utility):可选 运行支持库(runtime-support library):可选 十六进制转化公用程序(hex

6、 conversion utility):可选,16/46,CCS中代码生成工具的使用,17/46,FPGA的开发环境 ISE,FPGA开发环境,18/46,FPGA的开发环境 ISE,FPGA开发流程,19/46,FPGA的开发环境 ISE,FPGA设计流程 设计输入阶段: 设计规划和预算 多种设计输入方式,形成EDIF网表文件 设计实现 流程报告 布局布线 调试仿真 设计完成及下载,20/46,ISE界面,21/46,ISE建立工程 加入verilog文本文件,22/46,逻辑综合,23/46,FPGA的开发环境 ISE,调试仿真 过程: 创建测试矢量波形文件:Project-New So

7、urce-Test Bench Waveform 初始化输入波形 启动ModelSim进行行为仿真,也可以进行时序仿真 也可以创建Verilog Test Fixture文件仿真,24/46,25/46,FPGA的开发环境 ISE,设计实现 过程: 启动设计实现:Implement Design 可以在布局规划器(Floorplanner)中查看设计布局:Place & Route-View/Edit Placed Design(Floorplanner),26/46,27/46,28/46,FPGA的开发环境 ISE,下载调试 过程: 将Verilog程序完成的电路配置到芯片里,并让芯片运行

8、,观察并调试结果,29/46,30/46,基础的AD/DA实验,目的 了解软件无线电实验平台基本模块:ARM模块、FPGA模块、DSP模块、BASEBAND ADDA模块及RF模块的功能及其工作原理; 熟悉CCS、ISE软件平台;能够熟练地对DSP及FPGA程序进行调试.,31/46,基础的AD/DA实验,任务 能够基于CCS平台编写、调试程序实现DSP与ARM的通信; 能够基于ISE平台编写、调试程序实现FPGA控制AD、DA。,32/46,基础的AD/DA实验,项目需用仪器设备:计算机、软件无线电实验箱、信号发生器、示波器、DSP仿真器、FPGA仿真器、5V电源所需主要元器件及耗材:连接线

9、、计算机串口连接线,33/46,实验原理,1、软件无线电实验平台组成及工作原理 高级软件无线电综合实验系统平台由6个模块组成,分别如下:ARM模块、FPGA模块、MCU模块、DSP模块、BASEBAND ADDA模块、RF模块。,34/46,软件无线电实验平台组成及工作原理,35/46,2、DSP与ARM通信原理,DSP与ARM通过HPI接口协同工作,实现通信。,DSP和ARM工作原理框图,36/46,3、FPGA控制AD、DA实验,本实验根据数模转换器芯片AD9201,AD9761的工作时序,首先对输入的模拟信号用进行采样,然后将采样值直接通过DA发送出去。 AD采样率最高20MHz,DA速

10、率为20MHz,37/46,AD9201时序图,AD9761时序图,38/46,实验内容,(1)DSP与ARM的通信实验; 1、DSP与ARM的通信演示实验 2、DSP与ARM的通信实验实现 3*、DSP与ARM的通信扩展实验 (时序控制) (2)FPGA控制AD、DA实验。 1、FPGA控制AD、DA过程演示实验 2、FPGA控制AD、DA扩展实验,39/46,实验内容1 DSP与ARM的通信实现,1、DSP与ARM的通信演示实验 A. 运行ARM端程序RADIO.EXE,选择扩展实验的DSP和ARM通信实验,按下“确认”进入该实验界面; B. 连接好DSP仿真器; C. 打开CCS,打开工

11、程文件ARM_DSP_hpi.pjt。 D. 选择Project-Rebuild All,编译完成后选择File-LoadProgram找到ARM_DSP_hpi.out选择打开,通过JTAG下载DSP程序; E. 运行DSP程序Debug-Run F. 在界面数据输入框内输入0-20个数据,按Enter,此时在ARM端接收界面会显示经过DSP处理的数据;,40/46,实验内容1 DSP与ARM的通信实现,2、DSP与ARM的通信实验实现 A. 打开主程序文件main.c; B. 修改原程序中 (*p MovedData+) = (*pData+); 为(*pMovedData+) = (*p

12、Data+) +1; C. 在CCS中编译运行程序,重新在数据输入界面输入数据按ENTER; D. 在ARM端应用程序界面的右边数据显示栏观察结果,记录实验现象(左边数据加1)。,41/46,实验内容1 DSP与ARM的通信实现,3*、DSP与ARM的通信扩展实验 (时序控制) 通过修改SETHINT在程序中的位置来修改DSP端何时发送HPI中断给HOST端。 内容:实现10000个循环延时后发送中断。 修改完成后在CCS中编译运行程序,在ARM应用程序界面中重新输入数据按ENTER。 观察:ARM应用程序右边数据接收窗口,记录观察结果(数据更新速率应当降低),42/46,实验内容2 FPGA

13、控制AD、DA实验,1、FPGA控制AD、DA过程演示实验 A.编译AD_DA_LOOP工程,编译完后将bit文件下载到FPGA中; B.连接信号发生器到平台的RXI,对信号发生器进行设置产生500KHZ的正弦信号,然后发送; C.在ARM端应用程序界面进入-扩展实验-FPGA扩展实验-FPGA控制AD/DA实验,按ENTER然后用示波器观测平台的TXI、TXQ发出的波形。,43/46,实验内容2 FPGA控制AD、DA实验,2、FPGA控制AD、DA扩展实验 改变DA控制程序,使DA的I路输出单频正弦波。 修改FPGA程序,将da_out的赋值交换一个位置即可实现I路输出正弦波,Q路无信号。,44/46,思考题,简述DSP的McBSP工作原理。,45/46,谢谢!,46/46,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > PPT模板库 > 其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号