基于vhdl乐曲发生器的设计说明书

上传人:bin****86 文档编号:55166642 上传时间:2018-09-25 格式:DOC 页数:57 大小:380KB
返回 下载 相关 举报
基于vhdl乐曲发生器的设计说明书_第1页
第1页 / 共57页
基于vhdl乐曲发生器的设计说明书_第2页
第2页 / 共57页
基于vhdl乐曲发生器的设计说明书_第3页
第3页 / 共57页
基于vhdl乐曲发生器的设计说明书_第4页
第4页 / 共57页
基于vhdl乐曲发生器的设计说明书_第5页
第5页 / 共57页
点击查看更多>>
资源描述

《基于vhdl乐曲发生器的设计说明书》由会员分享,可在线阅读,更多相关《基于vhdl乐曲发生器的设计说明书(57页珍藏版)》请在金锄头文库上搜索。

1、1摘摘 要要随着超大规模集成电路的发展,随着计算机已经深入生活中的每一个领域,人们的生活中已经有越来越多的自动化机器,这些机器给人类的生活带来的翻天覆地的变化,提供了巨大无比的方便。于是自动化设计技术应运而生,其中VHDL 自动化设计语言是一门非常好用的语言。本设计是本着简单、方便而不乏趣味性和实用性的原则设计出的一个自动乐曲发生器,是所有能自动播放音乐机器的核心蓝本,MP3,随身听等现代音乐产品都是建立在此设计的基础之上的。因此此设计是非常重要而有意义的。本设计不仅具有自动播放音乐的功能,即播放已经存入的固定乐曲,也能够通过按键进行简单乐曲的演奏。本设计是基于 VHDL 实现的,大体可以分为

2、 4 个部分,分别是:音调发生器、音调编码器、手动自动选择及数控分频器。音调发生器主要是产生音调的部分,由于此设计比较简单,所以音调也不是很丰富;音调编码器主要是将人们可以看懂的音乐编码翻译成机器能看懂的数字编码,以实现透明服务;手动自动选择主要是用来为使用者提供手动演奏或自动播放选择服务的;数控分频器是用来将输入端的高频率信号处理成合适的输出信号。四个模块综合起来就成了今天我设计的自动乐曲发生器。 我的目标是此设计能演奏出我喜欢的月光曲。关键词关键词:自动化;乐曲发生器;VHDL;数字电路2ABSTRACTWith the development of VLSI and the comput

3、er being used widely in every area of daily life, there are more and more automated machines in peoples life that change peoples life greatly and provide an immense convenience. Automated design techniques have emerged, and Automatic VHDL design language is a very useful language. This design is to

4、be simple, convenient and no shortage of interesting and practical that it is the core blueprint of most automatic music player such as MP3 and WalkMan. As a result, the design is so important and meaningful. The design not only has the ability to play automatically, but also can be used to play by

5、us through clicking the keyboard. The design is based on VHDL containing 4 main parts that are Tone Generator, Tone Encoder, Manual Automatic selection, and NC divider. Tone Generator is to generate tone. There are no many kind tones because that the design is a little simple. Tone encoder will tran

6、slates the music codes that people can understand into digital code can be read by machines. The Manual Automatic selection part provides the two options for users. NC divider will handle the input high frequency signal into the appropriate output signal. Put the four parts together, we get the Auto

7、matic Music Player. My goal is that this design can play my favorite song- MoonLight.Keywords: Automation;Play a musical instrument;VHDL;Digital circuit3目录目录第一章 引 言5 1.1 自动乐曲发生器概述6 1.2 本设计任务和主要内容6 第二章 VHDL 及 FPGA/CPLD 简介.8 2.1 VHDL 的简介.8 2.2 FPGA/CPLD 简介.9 第三章 基于 VHDL 的自动乐曲发生器的设计过程11 3.1 原理描述.11 3.1

8、.1 音名与频率的关系.11 3.1.2 可变分频器.11 3.1.3 节拍发生器原理.13 3.2 乐曲演奏电路各模块设计.13 3.3 乐曲演奏电路的子结构.13 3.3.1 音调发生器模块13 3.3.2 音调编码器电路模块.15 3.3.3 手动自动选择模块.17 3.3.4 数控分频器模块19 3.4 顶层原理图及仿真.22 3.4.1 顶层原理图22 3.4.2 顶层原理仿真图23 第四章 总结24 致 谢25 参考文献26 附 录274第一章 引 言电子设计自动化 EDA(Electronic Design Automation)是指利用计算机完成电子的设计。EDA 技术是以计算

9、机和微电子技术为先导,汇集了计算机图形学、拓扑、逻辑学、微电子工艺与结构学和计算机数学等等多种计算机应用学科最新成果的先进技术。EDA 工具的发展经历了两个阶段:物理工具和逻辑工具。现在 EDA 和系统设计工具正逐渐被理解成一个整体的概念:电子系统设计自动化。物理工具用来完成设计中的实际物理问题,如芯片布局、印制电路板布线等;逻辑工具是基于网表、布尔逻辑、传输时序等概念,首先由原理图编辑器或硬件描述语言进行设计输入,然后利用 EDA 系统完成综合、仿真、优化等过程,最后生成物理工具可以接受的网表或 VHDL,Verilog-HDL 的结构化描述。现在常见的 EDA 工具有编辑器、仿真器、检查分

10、析工具、优化综合工具等。本次毕业设计是利用 EDA 设计一个简单的乐曲演奏器,可通过按键输入来控制音响声音 ,演奏时可以通过按键选择是手动演奏还是自动演奏,手动演奏是通过按键进行简易乐曲的演奏,自动演奏则是演奏已存入的固定乐曲。1.11.1 自动乐曲发生器概述自动乐曲发生器概述音乐是人们生活中必不可少的元素,音乐给人类带来了无法比拟的艺术享受。17 世纪之前,人们如果要听音乐只有 2 个途径:一个是自己演奏,还有一个是找专门以演奏音乐谋生的人演奏。无论是哪一种途径,都很麻烦。随着工业革命到来,自动化技术开始发展,直到今天,人们走到任何一个街道上都可以听到各种各样的音乐,这些音乐都是通过机器自动

11、播放的,这种机器就是自动乐曲发生器。自动乐曲发生器无疑给人们的生活带来了很多欢笑和快乐。所以我们有必要去了解和实现一些简单的自动乐曲发生器。1.21.2 本设计任务和主要内容本设计任务和主要内容1基本要求5自动演奏已存入的固定乐曲。2主要性能指标播放速度:每个节拍长定为 0.25s。音阶种类:一共有 16 中音阶,包含最基本 8 的音谱。音阶精度:利用音乐的十二平均率计算得到各音阶。3扩展功能演奏时可以通过按键选择是手动演奏还是自动演奏,手动演奏是通过按键进行简易乐曲的演奏。6第二章 VHDL 及 FPGA/CPLD 简介2.12.1 VHDLVHDL 的简介的简介VHDL 是一种用于电路设计

12、的高级语言。它在 80 年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL 的英文全写是:VHSI(Very High Speed Integrated Circuit)Hardware Description Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在 FPGA/CPLD/EPLD 的设计中。当然在一些实力较为雄厚的单位,它也被用来设计 ASIC。VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句

13、外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本点 。与其他硬件描述语言相比,VHDL 具有以下特点:(1)功能强大、设计灵活。VHDL 具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能

14、,层层细化,最后可直接生成电路级描述。VHDL 支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言虽不能比拟的。VHDL 还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。(2)支持广泛、易于修改。由于 VHDL 已经成为 IEEE 标准所规范的硬件描7述语言,目前大多数 EDA 工具几乎都支持 VHDL,这为 VHDL 的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用 VHDL编写的源代码,因为 VHDL 易读和结构化,所以易于修改设计。(3)强大的系统硬件描述能力。VHDL 具有多层次的设计描述功能,既可

15、以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL 支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL 支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。(4)独立于器件的设计、与工艺无关。设计人员用 VHDL 进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。(5)很强的移植能力,易于共享和复用。VHDL 采用基于库(Library)的设计方法,可以建立各种可再次

16、利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。目前有多种 EDA 工具支持采用 VHDL 进行电路综合、仿真以及实现。一些可编程器件生产商将使用 VHDL 进行电路设计所需的多种 EDA 工具集成为统一的开发平台提供给用户,进行针对本公司可编程器件产品的开发,从而使整个设计流程更加简捷和易于使用。目前比较常见的是 Altera 公司的 MaxPlus II 和 Xilinx 公司的 ISE 开发平台。2.22.2 FPGA/CPLDFPGA/CPLD 简介简介FPGA 和 CPLD 都是高密度现场可编程逻辑芯片,都能够将大量的逻辑功能集成于一个单片集成电路中,其集成度已发展到现在的几百万门。复杂可编程逻辑器件 CPLD 是由 PAL ( Programmable Array Logic,可编程数组逻辑)或GAL ( Generic Array Logic,通用数组

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号