基于vhdl万年历设计说明书

上传人:bin****86 文档编号:55166630 上传时间:2018-09-25 格式:DOC 页数:60 大小:795KB
返回 下载 相关 举报
基于vhdl万年历设计说明书_第1页
第1页 / 共60页
基于vhdl万年历设计说明书_第2页
第2页 / 共60页
基于vhdl万年历设计说明书_第3页
第3页 / 共60页
基于vhdl万年历设计说明书_第4页
第4页 / 共60页
基于vhdl万年历设计说明书_第5页
第5页 / 共60页
点击查看更多>>
资源描述

《基于vhdl万年历设计说明书》由会员分享,可在线阅读,更多相关《基于vhdl万年历设计说明书(60页珍藏版)》请在金锄头文库上搜索。

1、 目录摘 要 (1)Abstract .(2)绪论 .(3)第 1 章 基本概念简介 .(4)1.1 EDA 技术和 FPGA/CPLD 简介(4)1.2 VHDL 的简介.(4)1.3 Quartus II 的简介.(5)第 2 章 系统设计 .(6)2.1 设计思想 .(6)2.2 设计原理图 .(7)2.3 设计流程图 .(8)第 3 章 模块分析 .(9)3.1 计数器模块分析 .(9)3.1.1 秒和分计数器模块 (9)3.1.2 时计数器模块 (10)3.1.3 日计数器模块 (12)3.1.4 月计数器模块 (16)3.1.5 年计数器模块 .(19)3.2 校时模块 (22)3

2、.3 显示及显示方式切换模块 (24)3.4 顶层原理图 (26)第 4 章 引脚设定与验证 (29)4.1 引脚设定 (29)4.2 下载验证 (31)总结 (33)参考文献 (34)致谢 (35)1摘摘 要要随着 EDA(电子设计自动化)技术的发展和应用领域的扩大,EDA 技术在电子信息、通信、自动化控制及计算机应用领域的重要性日益突出。EDA 技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言HDL(Hardware Description language)为系统逻辑描述手段完成的设计文件,自动完成逻辑化简、逻辑分割、逻辑综合(布局布线

3、) 、逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。EDA 的关键技术之一是用形式化方法来描述数字系统的硬件电路、即用所谓的硬件描述语言来描述硬件电路。本设计是基于 VHDL 语言的万年历。在设计中,首先介绍了万年历的设计思路,且在Quartus II 开发环境中编译和仿真所设计各个模块的程序,并逐一调试程序使各模块达到设计目的。其次,利用各元器件生成顶层文件,进行系统仿真。最后,对顶层原理图进行引脚设定,并下载到试验箱验证,证明系统的可行性。关键字:VHDL 万年历 Quartus II2AbstractWith the development of EDA (electr

4、onic design automation) technology and expansion of application fields ,the importance of EDA technology in electronic information, communication, auto control, and computer applications is becoming increasingly prominent. EDA technology is the core of the modern electronic design techniques, which

5、rely on powerful computers . In EDA tools software platform, computer automatically completes logic simplification,logical partitions, logic synthesis , logic optimization ,logical simulation and other functions until the electronic circuit system achieves the stated performance. However, the realiz

6、ation of these function bases on the description of the system using the hardware description language HDL (Hardware Description language) . One of the key technologies of the EDA is to use formal methods to describe digital systems hardware circuit, which uses the so-called hardware description lan

7、guage to describe the hardware circuit.The design is the calendar based on VHDL language. Firstly, in which ,I introduce ideas about designing the calendar. In addition, I compile and simulate the program of different modules in the Quartus II development environment and debug one by one to make dif

8、ferent modules meet objectives of the design. Secondly, I take advantage of all components,which is created according to program to generate top-level file . Finally, I make pin settings and download to the test chamber to prove the feasibility of the system.Key words: VHDL calendar Quartus II3绪论绪论E

9、DA 技术以计算机为工具,而设计者只需在 EDA 软件平台上,用硬件描述语言 HDL 完成设计文件,然后由计算机自动完成逻辑编译、化简、分割、综合、布局、布线和仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。其中,EDA 的关键技术之一是用形式化方法来描述数字系统的硬件电路,即用硬件描述语言来描述硬件电路。本设计是基于 VHDL 语言的万年历,故名思议,需要用 VHDL 语言描述万年历的硬件电路。就万年历的功能而言,首先,其不仅要计算时间,且需要计算日期,尤其需要正确的判断每月的天数。在其中,比较困难的是如何正确的计算 2 月份的天数,因为闰年的 2 月份有 29 天,但平年的

10、 2 月份只有 28 天。由此,引出一个新的问题:在系统中除计算年份外,还需判断其是否为闰年。其次,系统还要将时间显示,显示方式分为时分秒、年月日这两种显示方式。最后,为增加系统的实用性,本设计还增加校时功能,主要实现当计时出现误差时进行校正的功能。在本设计中,通过程序使系统有 7 种不同的工作状态,分别为正常计时、校分、校时、校日、校月、校年低位、校年高位。除此,为了显示目前所处的工作状态,用 6 个指示灯的亮灭来表示,6 个灯都不亮时表示正常计时,其余每个灯的亮灭依次表示 6 种不同的工作状态。在系统设计中,先用 VHDL 语言实现各个模块的功能,然后用原理图方式生成顶层文件,使设计思路清

11、晰。最后,对顶层原理图进行引脚设定,并进行下载验证,证明系统的可行性。4第第 1 1 章章 基本概念简介基本概念简介1.11.1 EDAEDA 技术和技术和 FPGA/CPLDFPGA/CPLD 简介简介EDA 技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL(Hardware Description language)为系统逻辑描述手段完成的设计文件,自动完成逻辑化简、逻辑分割,逻辑综合(布局布线) ,以及逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。EDA 技术使得设计者的工作几乎仅限于利用软件的方式,即利用硬件

12、描述语言 HDL 和 EDA 软件来完成对系统硬件功能的实现。CPLD(Complex Programmable Logic Device),即复杂可编程逻辑器件,是从 PAL 和GAL 器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路。它是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现数字系统的设计。 FPGA(FieldProgramable Gate Array) ,即现场可编程门阵列,它是在PAL、GAL、CPLD 等

13、可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。它们的特点是直接面向用户,具有极强的灵活性和通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低,上市时间短,工作可靠性好等。FPGA 和 CPLD 的应用是EDA 技术有机融合硬件电子设计技术、SOC 和 ASIC 设计,以及对自动设计与自动实现最典型的诠释。1.21.2 VHDLVHDL 的简介的简介硬件描述语言发展至今已有几十年的历史,并已成功地应用到系统的仿真,验证和设计综合等方面。到 20 世纪 80 年代后期,已出

14、现上百种硬件描述语言,它们对设计自动化起了促进和推动作用。但是,它们大多针对特定设计领域,没有统一的标准,从而使一般用户难以使用。广大用户期盼一种面向设计的多层次,宽领域且得到一致认同的标准硬件语言。80 年代后期有美国国防部开发的 VHDL 语言(VHSIC Hardware Description Language)恰好满足上述要求,并在 1987 年 12 月由 IEEE 标准化 VHDL(定5为 IEEE std 1076-1987 标准,1993 年进一步修订,被定为 ANSI/IEEE std 1076-1993 标准) 。VHDL 语言的出现为电子设计自动化(EDA)的普及和推广

15、奠定了坚实的基础。据1991 年有关统计资料表明,VHDL 语言已被广大设计爱好者所接受,据称已有 90%的设计者使用或即将使用 VHDL 语言设计数字系统。另外,众多 CAD 厂商也纷纷使自己新开发的电子设计软件与 VHDL 语言兼容。由此可见,使用 VHDL 语言来设计数字系统是电子设计技术的大势所趋。VHDL 的一个突出优点是移植性强。因为它是一种标准语言,故它的设计描述可以被不同的工具所支持。它可以从一个模拟工具移植到另一个模拟工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台去执行。同时,这意味着许多设计不必个个都从头再来,只要在更高层次上把已有的模块利用起

16、来,就可以达到事半功倍的效果。此外,VHDL 本身的生命期长。因为 VHDL 的硬件描述与工艺技术无关,不会因为工艺变化而使描述过时。而与工艺技术有关的参数可通过 VHDL 提供的属性加以描述,当生产工艺改变时,只需修改相应程序中的属性参数即可。1.31.3 QuartusQuartus IIII 的简介的简介Quartus II 是 Altera 公司的综合性 PLD/FPGA 开发软件,支持原理图、VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。 Quartus II 可以在 XP、Linux 和 Unix 上使用,除了可以使用 Tcl 脚本完成设计流程外,还提供了完善的用户图形界面设计方式。它具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II 支持 Altera 的 IP 核,包含了LPM

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号