基于VHDL的频率计设计开题报告

上传人:ji****72 文档编号:53006620 上传时间:2018-08-27 格式:PPT 页数:8 大小:456KB
返回 下载 相关 举报
基于VHDL的频率计设计开题报告_第1页
第1页 / 共8页
基于VHDL的频率计设计开题报告_第2页
第2页 / 共8页
基于VHDL的频率计设计开题报告_第3页
第3页 / 共8页
基于VHDL的频率计设计开题报告_第4页
第4页 / 共8页
基于VHDL的频率计设计开题报告_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《基于VHDL的频率计设计开题报告》由会员分享,可在线阅读,更多相关《基于VHDL的频率计设计开题报告(8页珍藏版)》请在金锄头文库上搜索。

1、开题报告,题目:基于VHDL的频率计设计,开题人:周勇班级:A1121 02专业:电子信息工程指导老师:罗静,研究意义及使用价值,数字频率计在电子技术中扮演着一个重要的角色,因此数字频率计是一种最基本的测量仪器,它被广泛应用于航天、电子、测控等领域,许多测量方案和测量结果都与频率有着十分密切的关系,因此频率的测量在电子产品的研究与生产中显得尤为重要。在电子技术中,频率是最基本的参数之一,频率是周期性信号在单位时间(1S)内的变化次数。而频率检测也是电子测量领域的最基本也是最重要的测量之一。频率信号抗干扰能力强、易于传输,可以获得较高的测量精度,所以测频率方法的研究越来越受到重视。以往的测频仪都

2、是在低频段利用测周的方法、高频段用测频的方法,其精度往往会随着被测频率的下降而下降。该设计采用等精度测量方法,解决了这个问题。,研究内容,1.比较分析常用频率计的原理和差别,选择适合本设计的设计方法。 2.根据选定的设计方法,依自顶而下的设计方法对频率计进行总体方案的设计和模块的划分。 3.用VHDL语言对各个模块和顶层电路进行设计。 4.在QuartusII环境下对设计进行测试和仿真。 5.对频率计的附件电路进行设计,完成总体的频率计设计,使其成为一个完整系统。 6.基于VHDL的频率计的方案研究。,主要研究(设计)方法,本设计通过频率控制模块,将时钟信号clk 两分频后分别取反赋给锁存使能

3、和计数使能端,这样计数完成后就能实现数据的锁存。当计数使能和时钟信号同时出现低电平的时候,计数复位信号有效,将计数器清零,从新开始计数。 1.通过计数器模块实现对输入信号周期的计数。 2.通过锁存模块实现对计数器结果的锁存,并将其送入译码模块。 3.通过译码模块实现对计数结果的译码,让其直观地显示于数码管上。 4.通过系统模块编译、仿真实现对各模块功能的整合,实现整个系统的功能。,脉冲同步检测电路,频率测量机运算模块,BCD码转换,译码,显示电路,FPGA,基准频率信号,被测信号,频率计原理框图,论文进度,9月10月 完成资料收集,对比设计方案11月12月 完成系统模块的整体设计,完成初稿的文字整理1月2月 进一步完成系统的仿真测试,对系统进行细化修改3月4月 完成论文的定稿,谢谢,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号