北邮数电实验综合讲义

上传人:wm****3 文档编号:52256440 上传时间:2018-08-19 格式:PPT 页数:90 大小:1.76MB
返回 下载 相关 举报
北邮数电实验综合讲义_第1页
第1页 / 共90页
北邮数电实验综合讲义_第2页
第2页 / 共90页
北邮数电实验综合讲义_第3页
第3页 / 共90页
北邮数电实验综合讲义_第4页
第4页 / 共90页
北邮数电实验综合讲义_第5页
第5页 / 共90页
点击查看更多>>
资源描述

《北邮数电实验综合讲义》由会员分享,可在线阅读,更多相关《北邮数电实验综合讲义(90页珍藏版)》请在金锄头文库上搜索。

1、数字电路与逻辑设计实验数字电路与逻辑设计实验电子工程学院电路中心电子工程学院电路中心 袁东明袁东明http:/2主要内容主要内容n 课程介绍n 数字系统设计n 状态机的设计n 注意事项n 综合实验题目第一部分第一部分课程介绍课程介绍4课程安排课程安排n实验内容: 完成一个综合课题的设计及仿真、调试。n本学期实验教学进度:内 容1讲讲授内容:状态态机、系统设计统设计 方法、综综合题题目要求等 实验实验 内容:确定题题目、讨论设计讨论设计 方案2综综合课题设计课题设计 与实现实现 ,检查设计检查设计 方案3综综合课题设计课题设计 与实现实现 ,检查检查 程序及仿真4综综合课题设计课题设计 与实现实

2、现 ,功能验验收及交流答辩辩5考核方式考核方式n考核项目及成绩评定 操作成绩(40%)包括实验态度及整理、预习、软件使用、程序 编写及仿真波形等 验收答辩(40%)包括功能验收和交流答辩 实验报告(20%)6考核方式考核方式n评定标准 实验态度:包括考勤、纪律等,实验结束时关闭计算机、 稳压电源、示波器等仪表,保持实验台清洁、整齐。 实验设计方案(预习):根据选定的题目,课下进行预习 ,完成系统设计,第二次实验课时进行检查,主要内容包 括:系统结构框图、方框图(模块划分)、模块之间信息 传递关系、各模块的输入输出信号、主要模块的详细设计 (ASM图、MDS图)等。 软件使用及程序验收:包括熟练

3、使用QuartusII软件进行设 计,程序及仿真波形验收。 硬件验收:包括实现的各项功能情况,根据题目的要求进 行评定。 交流答辩:主要是实验中的经验教训、心得体会等,答辩 最后一次课上进行,每人不超过3分钟,自愿参加 。7课题报告要求课题报告要求内 容分数1设计课题设计课题 的任务务要求52系统设计统设计 (包括设计设计 思路、总总体框图图、分块设计块设计 )203仿真波形及波形分析204源程序(要有注释释)205功能说说明56元器件清单单及资资源利用情况57故障及问题问题 分析108总结总结 和结论结论109报报告格式规规范、清晰5电子版和纸版 各提交一份最后一次 实验后一 周之内提 交第

4、二部分第二部分数字系统设计数字系统设计9主要内容主要内容n数字系统概述n数字系统设计方法n数字系统设计的描述方法 n其它概念10综合实验题目综合实验题目1.简易微波炉控制器的设计与实现 2.简易地铁自动售票系统 3.简易二层电梯控制器 4.简易计算器 5.简易电子琴演奏器 6.点阵赛车游戏 7.7.简单简单CPUCPU系统设计与实现系统设计与实现11数字系统概述数字系统概述n数字系统:由若干数字电路和逻辑部件 构成的能够处理或传送数字信息的设备 。 n数字系统的三大组成部分:输入输出接 口、数据处理器、控制器 n区分数字系统与功能部件(数字单元电 路)的标志:有无控制器12数字系统结构框图数字

5、系统结构框图子系统输入控 制信号输出控 制信号信息 输入输出 信息控 制 器输出 接口输出 接口输入 接口输入 接口子系统子系统时钟数据处理器13数字系统设计方法数字系统设计方法1.自底向上(bottom-up)设计法(试 凑设计法) 用试探的方法按给定的功能要求,选择若 干模块(功能部件)来拼凑一个数字系统 。 主要凭借设计者的熟练技巧和经验来实现 适用于小型数字系统的设计14数字系统设计方法数字系统设计方法2.自顶向下(top-down)设计法从整个系统功能出发,按一定原则将系统 划分为若干子系统,再将每个子系统分为 若干功能模块,再将每个模块分成若干较 小的模块直至分成许多基本模块实现

6、。15自顶向下设计法的步骤(一)自顶向下设计法的步骤(一)1.确定初步方案,进行系统设计和描述 ; 明确设计课题的任务、要求、原理和使用 环境,系统外部输入信号及输出信号的特 性,以及系统所需要完成的逻辑功能和所 要达到的技术指标等,然后确定初步方案 。 描述方法:方框图、定时图(时序图)、 逻辑流程图。16自顶向下设计法的步骤(二)自顶向下设计法的步骤(二)2.系统划分,进行子系统功能描述; 将系统划分为控制器和受控电路两部分, 受控电路又可以用各种模块(子系统)实 现。 确定使用哪些子系统,以及各子系统与控 制器之间的关系。 对各子系统和控制器进行功能描述:ASM 图、MDS图等17自顶向

7、下设计法的步骤(三)自顶向下设计法的步骤(三)3.逻辑描述,完成具体设计。 设计具体电路。 传统方法:用标准的SSI、MSI、LSI或 GAL等器件实现受控电路;用时序机设计 方法实现控制器。 EDA方法:用PLD器件实现电路设计。自顶向下的设计过程是一个反复修改和 补充的过程。18例例1 1:两人乒乓游戏机:两人乒乓游戏机n以8个发光二极管代表乒乓球台,中间两个发 光管兼作球网。用发光管按一定的方向依次闪 亮来表示球的运动,在游戏机两侧各设发球/ 击球开关SA和SB,当甲方发球时,靠近甲方 的第一个发光管亮,然后依次点亮第二个 球向乙方移动,球过网后到达设计者的规定的 球位乙方即可击球,若乙

8、方提前击球或未击到 球,则甲方得分。然后重新发球进行比赛,直 到某一方记分达到规定分,记分清零,重开一 局比赛。19两人乒乓游戏机结构框图两人乒乓游戏机结构框图20模块划分模块划分分频模块记分模块球台显示模块译码模块控制器根据控制器的指令控制发 光二极管的亮灭将分数转换为数码管显示 对应的译码数据记录双方的得分将原始时钟分频,产生系 统中不同模块需要的时钟 频率21数字系统的描述方法(一)数字系统的描述方法(一)n方框图 可详细描述数字系统的总体结构,直观易 懂 每一个方框定义一个信息处理、存储或传 送的子系统,在方框内用文字、表达式、 通用符号或图形来表示该子系统的名称或 主要功能 方框之间

9、用带箭头的直线相连,表示各个 子系统之间数据流或控制流的信息通道, 箭头指示了信息传送的方向22两人乒乓游戏机逻辑划分方框图两人乒乓游戏机逻辑划分方框图分频器球台控制器译码显示器记分器SA SBCPmSCSTOP CNT,Cr23数字系统的描述方法(二)数字系统的描述方法(二)n定时图(时序图或时间关系图) 用来定时地描述系统各模块之间、模块内部 各功能组件之间以及组件内部各门电路或触 发器之间输入信号、输出信号和控制信号的 对应时序关系和特征(信号是电平还是脉冲 ,是同步信号还是异步信号等)。24数字系统的描述方法(三)数字系统的描述方法(三)nASM(Algorithmic State M

10、achine ) 图 (算法状态机图、逻辑流程图) 用特定的几何图形、指向线和简练的文字 说明,描述数字系统对信息的处理过程, 以及控制单元所提供的控制步骤,以便于 设计者发现和改进信息处理过程中的错误 和不足,同时又是后续电路设计的依据 与通常的软件设计中的流程图相似,但它 表示事件的精确时间间隔序列,而一般的 流程图只表示事件序列,没有时间概念25ASMASM图的基本符号图的基本符号状态名或 功能说明状 态 输出表入口出口(A) 状态框功能说明入口出口条 件 输出表(C)条件输出框条件入口条件真出口条件假出口(B)条件判别框NOYES26启动等待发球甲发球?乙发球?靠近甲的L1灯亮靠近乙的

11、L8灯亮球向乙移动球向甲移动乙击球?甲击球?球移动到L7?球移动到L2?结束,胜方得分YesYesYesYesYesYesNoNoNoNoNoNo两人乒乓游戏机的两人乒乓游戏机的ASMASM图图27数字系统的描述方法(四)数字系统的描述方法(四)nMDS(Mnemonic Documented State) 图 MDS图是设计数字系统控制器的一种简洁 方法,它类似于状态转换图,可以由详细 流程图转换而来。 MDS图中用一个圆圈表示一个状态,状态 名标注在圆圈内,圆圈外的符号或逻辑表 达式表示输出,用定向线表示状态转换方 向,定向线旁的符号或逻辑表达式表示转 换条件。28WaitLight1Li

12、ght8MoveBMoveAEndSA SBSA SBSA SBSA SB L7SA L2SA L2SB L7两人乒乓游戏机的两人乒乓游戏机的MDSMDS图图29例例2 2:交通灯控制器:交通灯控制器n设计制作一个用于十字路口的交通灯控制器。 1.南北和东西方向各有一组绿、黄、红灯用于指挥 交通,绿灯、黄灯和红灯的持续时间分别为20秒 、5秒和25秒; 2.当有特殊情况(如消防车、救护车等)时,两个 方向均为红灯亮,计时停止,当特殊情况结束后 ,控制器恢复原来状态,继续正常运行; 3.用两组数码管,以倒计时方式显示两个方向允许 通行或禁止通行的时间; 30交通灯控制器结构框图交通灯控制器结构框

13、图31交通灯控制器逻辑划分方框图交通灯控制器逻辑划分方框图南 北 灯东 西 灯控制器计时器分频器时钟译码显示器resetcallcp32交通灯控制器的交通灯控制器的ASMASM图图33交通灯控制器的交通灯控制器的MDSMDS图图Green_redred_YellowYellow_redred_GreenTyTgTyTgTgTgTyTy第三部分第三部分状态机的设计状态机的设计35用户自定义数据类型用户自定义数据类型n在VHDL中,用户可以自己定义数据类型。n可由用户定义的数据类型有: 枚举类型 整数、实数、浮点数类型 数组类型 存取、文件、记录、时间类型n语法描述(枚举类型):TYPETYPE

14、week week ISIS (sun (sun,monmon,tuetue,wedwed,thuthu, frifri,sat) ;sat) ;TYPETYPE 数据类型名数据类型名 ISIS 数据类型定义数据类型定义 ; ;n举例36用户自定义数据类型用户自定义数据类型n用户自定义数据类型定义语句TYPE m_state IS ( s0,s1,s2,s3,s4,s5 );SIGNAL pre_state,next_state : m_state ; CASE pre_state IS WHEN s0 = next_state next_state IF (d_in=1) THEN next

15、_state IF (d_in=1) THEN next_state IF (d_in=0) THEN next_state IF (d_in=0) THEN next_state f f f f IF (d_in=1) THEN state IF (d_in=0) THEN state IF in1=1 THENout1 IF in1=1 THENout1clk ,reset=reset, cnt=cnt1 ); else u2: cnt16_down port map (clk=clk ,reset=reset ,cnt=cnt2 ); End if;u1: cnt16_up port m

16、ap (clk=clk ,reset=reset, cnt=cnt1 ); u2: cnt16_down port map (clk=clk ,reset=reset ,cnt=cnt2 ); process(updown,cnt1,cnt2) begin if updown=1 then led=cnt1; else led=cnt2; end if; end process;88内部信号使用内部信号使用entity cnt5_1 isport ( clk: in STD_LOGIC; cnt: out STD_LOGIC_VECTOR (3 downto 0); end cnt5_1; signal count: STD_LOGIC_VECTOR (3 downto

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号