片上可编程系统原理及应用教学课件05第五章SOPC描述规范

上传人:飞*** 文档编号:51254934 上传时间:2018-08-13 格式:PPT 页数:178 大小:359.50KB
返回 下载 相关 举报
片上可编程系统原理及应用教学课件05第五章SOPC描述规范_第1页
第1页 / 共178页
片上可编程系统原理及应用教学课件05第五章SOPC描述规范_第2页
第2页 / 共178页
片上可编程系统原理及应用教学课件05第五章SOPC描述规范_第3页
第3页 / 共178页
片上可编程系统原理及应用教学课件05第五章SOPC描述规范_第4页
第4页 / 共178页
片上可编程系统原理及应用教学课件05第五章SOPC描述规范_第5页
第5页 / 共178页
点击查看更多>>
资源描述

《片上可编程系统原理及应用教学课件05第五章SOPC描述规范》由会员分享,可在线阅读,更多相关《片上可编程系统原理及应用教学课件05第五章SOPC描述规范(178页珍藏版)》请在金锄头文库上搜索。

1、SOPC描述规范何宾 2009.10SOPC描述规范本章主要介绍SOPC描述规范。EDK工具运行在数据 流驱动行为模式。EDK工具存在不同的数据文件来捕获 数 据,比如不同的IP,驱动和软件库。文件也用来捕获设 计 系统的软件和硬件信息。这些是ASCII文件。这些数据格式被称为平台规范格式(Platform Specification Format,PSF)。SOPC描述规范1)黑盒定义(Blace Box Defination,BBD)文件管 理被优化的硬件网表的文件位置,这些文件用于设计者 所 设计外设的黑盒部分。2)微处理器驱动定义(Microprocessor Driver Defin

2、ation,MDD)文件包含定制软件驱动的指令。3)微处理器硬件规范(Microprocessor Hardware Specification,MHS)文件定义了硬件元件。提供的 MHS 文件作为Platgen工具的输入。4)微处理器外设定义(Microprocessor Peripheral Defination,MPD)文件定义了外设的接口SOPC描述规范5)微处理器软件规范(Microprocessor Software Spectification,MSS)文件作为输入到Libgen的输入。 MSS文件包含了定制库,驱动和文件系统的指令6)微处理器库定义(Microprocessor

3、 Library Defination)文件包含了指定软件库和操作系统得指令7)外设分析命令(Peripheral Analyze Order,PAO) 文 件包含了HDL的列表文件,这些文件需要用于综合和 为 编译定义分析指令8)Xilinx板描述(Xilinx Board Description,XBD) 文 件包含了出现在板上的逻辑接口的定义和连接到FPGA 的 方式。微处理器硬件规范 微处理器硬件规范(MHS)的语法规范MHS文件语法对大小写敏感。MHS的参数,元件,实例和信号名字必须是HDL语 言。必须遵守HDL语言的语法规范。MHS文件的注释部分以#开头。MHS的元件以BEGIN

4、peripheral_name开头,以END 结 束。使用command name=value分配命令。这里有三种分 配 命令:BUS_INTERFACE,PARAMETER,PORT。微处理器硬件规范 总线接口总线接口是有关联的互联信号的群。总线接口有 下 面几种:1)SDCR,2)SLMB,3)MOPB,4)MSOPB,5)SOPB,6)MPLB,7)MSPLB,8)SPLB。 微处理器硬件规范3 本地总线接口使用POSITION关键字来设置总线接口在总线上的 位 置。比如使用它定义主设备请求的优先级,或者DCR从 设 备级别。BUS_INTERFACE MOPB=opb_bus_inst

5、, POSITION=N4 全局参数全局参数在BEGIN-END块外定义。比如:版本参 数 是全局参数。5 本地参数本地参数在BEGIN-END块内定义,比如: HW_VER,INSTANCE参数。微处理器硬件规范6 全局端口全局端口在BEGIN-END块外,可以有下面的关键字: BUFFER_TYPE,CLK_FREQ,DIR,RST_POLARITY , SENSITIVITY,SIGIS,VEC。下面对SIGIS和VEC关键 字 进行说明。(1)SIGIS:信号类由该关键字以下面形式给出:PORT mysig=” “,DIR=O,SIGIS=value,value可以 是 CLK,INT

6、ERRUPT,RST。 微处理器硬件规范7 本地端口本地端口在BEGIN-END块内,没有关键字。1)定义存储器的大小PARAMETER C_HIGHADDR=0xFFFF00FFPARAMETER C_BASEADDR=0xFFFF0000微处理器硬件规范2)电源信号(net_gnd/net_vcc)PORT mysignal=Power_signal,Power_signal或者是 net_vcc或net_gnd3)无连接的端口无连接的输出端口分配为开(open),无连接的输 入端口设置为GND或VCC。4)常数的分配PORT mysignal=0b1010_0101 5)并置微处理器硬件

7、规范PORT A=A,DIR=INPUTPORT B=B,DIR=INPUT,VEC1:0PORT C=C,DIR=INPUTPORT D=D,DIR=INPUT,VEC0:3PORT Y=A port ( IPIO_I: in std_logic_vector(0 to C_WIDTH-1); IPIO_O: out std_logic_vector(0 to C_WIDTH-1); IPIO_T: out std_logic);微处理器外设规范 -MPD例子BEGIN tri_state_singleOPTION IPTYPE=IPPARAMETER C_WIDTH=9, DT=integ

8、erPORT IPIO = “”, DIR=INOUT, VEC=0:C_WIDTH-1, ENABLE=SINGLE,THREE_STATE=TRUEEND微处理器外设规范 使用单比特使能的自由命名的端口的三态entity tri_state_single isgeneric (C_WIDTH: integer:= 9);port (ITRI: in std_logic_vector(0 to C_WIDTH-1);OTRI: out std_logic_vector(0 to C_WIDTH-1);TTRI: out std_logic);end entity tri_state_sing

9、le;微处理器外设规范 -MPD例子BEGIN tri_state_single OPTION IPTYPE=IP PARAMETER C_WIDTH=9, DT=integer PORT IPIO=“”, DIR=IO,VEC=0:C_WIDTH-1, THREE_STATE=TRUE, TRI_I=ITRI, TRI_O=OTRI, TRI_T=TTRI PORT ITRI=”, DIR=I, VEC=0:C_WIDTH-1 PORT OTRI=”, DIR=O, VEC=0:C_WIDTH-1 PORT TTRI=”, DIR=I END外设分析命令外设分析命令文件PAO包含了HDL文件

10、的列表,这些文件需要综合和定义分析命令,用于编译。如果 MPD 文件的STYLE的选项是MIX或HDL,则核为PAO文件 。外设分析命令 -PAO格式采用下面的格式 :tooltarget libraryname filename hdllang 1)tooltarget指明了工具目标。有效的值是lib, simlib,synlib,vlgincdir。用lib指定的文件用于综合和仿真。用simlib指定的 文 件指用于仿真。Vlgincdir定义了Verilog的包含目录的 相 对路径。用synlib指定的文件只用来综合。外设分析命令 -PAO格式2)libraryname指明了包含文件的库

11、。所有为IP的 文件应该 使用IP作为库的名字。如果没有指定版本 ,使用库的最新版本。如果要求指定一个版本,给出 版本名字(通过使用版本的扩展)。比如:版本 1.00.a,库名称是libraryname_v1_00_a。名字是小写。外设分析命令 -PAO格式3)filename指明了文件的名字,可选的文件名有一 个 文件扩展。如果没有扩展名,对VHDL文件,则添加 .vhd 扩展名,对Verilog文件,则添加.v扩展名。如果MPD 文 件指定OPTION HDL=BOTH,则不需要指定扩展名。指定all来代替给定具体的文件名。这将时来自给定 库的所有文件都包含在内。如果涉及到PAO所属的同

12、样 的库,则不能使用all。任何使用all所涉及到子库都必 须 有一个有效的PAO文件与之关联。名字是小写外设分析命令 -PAO格式4)hdllang指明文件所使用的语言。有效的值是 verilog和vhdl。当使用OPTION HDL= MIX时,使用该 选 项。外设分析命令 -Verilig的包含目录必须使用相对路径,使工程从一个开发平台到另一 个开发平台具有灵活性。在VerilogHDL文件使用 include编译器命令插入一个完整文件的内容。外设分析命令 -Verilig的包含目录下面给出一个Verilog文件的例子:include “global_consts.v”include “

13、pcore_v1_00_a/hdl/verilog/consts.v“默认情况下,所有已知EDK的知识库都被自动的 包 含来调用便于处理verilog:/pcores$XILINX_EDK/hw/XilinxBFMinterface/pcores $XILINX_EDK/hw/XilinxProcessorIPLib/pcores$XILI X_EDK/hw/XilinxReferenceDesigns/pcores下面给出了其格式vlgincdir 外设分析命令 -PAO的例子下面给出了VHDL PAO文件的例子:lib common_v1_00_a common_types_pkg.vhd

14、lib common_v1_00_a pselect.vhdlib opb_gpio_v1_00_a gpio_corelib opb_gpio_v1_00_a opb_gpio 下面给出了MIXED PAO文件的例子:lib libname_v2_00_a file1.vhd vhdllib ipname_v1_00_a file2.v veriloglib ipname_v1_00_a file3.vhd vhdlsimlib ipname_v1_00_a simfile.v verilogsynlib ipname_v1_00_a synfile.vhd vhdl黑盒定义黑盒定义BBD文

15、件管理被优化的硬件网表的文件 位 置,用于所设计外设的黑盒部分。MPD文件的STYLE选项的值为MIX或BLACKBOX 时,指明该核为一个BBD文件。黑盒定义 -BBD的格式BBD格式是一个查找表,列出了网表文件。第一 行 是查找表的头部。在头部有很多所需要的入口用于选 择。只有当定义为MPD的参数时,头部入口是可用 的。 表的最后一栏必须是FILE栏。在IP目录的网表目录由它自己基本的目录结构, 这 是因为BBD文件管理相对文件位置。黑盒定义 -BBD的格式每个文件(使用硬件实现网表的扩展名表示)被列出来。由于实现网表有多个文件扩展形式(比 如.edn,.edf,edo,ngo),这是对于

16、识别文件的格式非常重要。如果有多个硬件实现网表,则使用逗号来分隔每一个在列表中的独立网表。相对路径到一个普通知识库(repository)的支持, 使用:语法表示。比如:ddr_common被用来为DDR (对opb_ddr和plb_ddr)发布网表。黑盒定义 -BBD的例子1)没有选项的文件选择下面给出了一个没有选项的文件选择的例子。 NGC网表被拷贝到所设计的实现目录,而不需要考虑 核明确的选项集。 FILE blackbox.ngc黑盒定义 -BBD的例子2)没有选项的多重文件选择下面给出了一个没有选项的多重文件选择的例子 。 NGC网表被拷贝到所设计的实现目录,而不需要考虑核明确的选项集。FILEblackbox.ngc,blackbox2.ngc,blackbox3.edn黑盒定义 -BBD的例子3)使用选项的文件选择下面给出了一个使用选项的文件选择的例子。指 定的EDIF网表被拷贝到所设计的实现目录(取决于 核的C_FAMILY和C_BUS_CONFIG参数集)。黑盒定义

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号