vhdl与数字电路设计课件-第七章 状态机设计

上传人:aa****6 文档编号:50959641 上传时间:2018-08-11 格式:PPT 页数:16 大小:277KB
返回 下载 相关 举报
vhdl与数字电路设计课件-第七章 状态机设计_第1页
第1页 / 共16页
vhdl与数字电路设计课件-第七章 状态机设计_第2页
第2页 / 共16页
vhdl与数字电路设计课件-第七章 状态机设计_第3页
第3页 / 共16页
vhdl与数字电路设计课件-第七章 状态机设计_第4页
第4页 / 共16页
vhdl与数字电路设计课件-第七章 状态机设计_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《vhdl与数字电路设计课件-第七章 状态机设计》由会员分享,可在线阅读,更多相关《vhdl与数字电路设计课件-第七章 状态机设计(16页珍藏版)》请在金锄头文库上搜索。

1、VHDL与数字电路设计本章内容1.状态机的电路设计2.交通灯控制器设计1、状态机的电路设计 我们可以用输入信号X和电路状态Q来描述时序电路的逻辑 功能,这时时序电路称为状态机(State Machine)。根据输出信号和输入信号以及电路状态的关系,状态机可以 分为两种:穆尔(Moore)型和米利(Mealy)型。Y=FX,Q Y=FQ 米利(Mealy)型:穆尔(Moore)型:(1) More型状态机 S0S20/01/0S31/0S11/10/00/10/01/0输入信号影响状态的转换。输出信号和输入信号无关。LIBRARY ieee; USE ieee.std_logic_1164.AL

2、L;ENTITY more IS PORT(Clk,reset: in Std_logic; din: in Std_logic;op: out Std_logic); END more;ARCHITECTURE a OF more IS TYPE STATE_TYPE IS (s0, s1, s2,s3); SIGNAL state: STATE_TYPE; BEGINS0S20/01/0S31/0S11/10/00/10/01/0PROCESS (clk,reset) BEGINIf reset = 1 THENstate IF din=1 THEN state IF din=0 THEN

3、 state IF din=0 THEN state IF din=1 THEN state IF din=1 THEN state IF din=0 THEN state IF din=0 THEN state IF din=1 THEN state if timeout1=0 then nextstate if timeout2=0 then nextstate if timeout1=0 then nextstate if timeout2=0 then nextstate nextstate=s0;timeout1=0; timeout2=0; end case; end process; end a;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > PPT模板库 > 教育/培训/课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号