VHDL的结构体描述方式

上传人:宝路 文档编号:48002920 上传时间:2018-07-08 格式:PPT 页数:16 大小:1MB
返回 下载 相关 举报
VHDL的结构体描述方式_第1页
第1页 / 共16页
VHDL的结构体描述方式_第2页
第2页 / 共16页
VHDL的结构体描述方式_第3页
第3页 / 共16页
VHDL的结构体描述方式_第4页
第4页 / 共16页
VHDL的结构体描述方式_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《VHDL的结构体描述方式》由会员分享,可在线阅读,更多相关《VHDL的结构体描述方式(16页珍藏版)》请在金锄头文库上搜索。

1、VHDL结构体的描述方式DateDate1 1三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 用VHDL设计一2选1数据选择器思考以下问题思考以下问题(请同学回答请同学回答) 电路实现什么功能?如何叙述?电路实现什么功能?如何叙述? 电路有几个端口?方向、数据类型应该电路有几个端口?方向、数据类型应该 如何设置?如何设置? 电路内部结构如何用数字电路实现?电路内部结构如何用数字电路实现? 电路如何用电路如何用VHDLVHDL来实现?来实现?DateDate2 2三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 在数字信号传输过程中,有时需要从一

2、组输入在数字信号传输过程中,有时需要从一组输入数据中选出某一个来,完成这种功能的逻辑电路称数据中选出某一个来,完成这种功能的逻辑电路称为数据选择器。为数据选择器。d0d1selqDateDate3 3三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY EX1 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC); END EX1; ARCHITECTURE EX1 _A OF EX1 IS BEGINPROCESS(d0,d1,sel

3、) BEGINIF IF selsel = 0 THEN q = d0; = 0 THEN q = d0;ELSE q = d1; END IF;END PROCESS; END EX1_A; 当当selsel等于等于0 0时,输出选择时,输出选择 d0d0。LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY EX1 ISPORT(d0,d1,sel: IN STD_LOGIC;q: OUT STD_LOGIC); END EX1; ARCHITECTURE EX1 _A OF EX1 IS BEGINPROCESS(d0,d1,sel ) BE

4、GINIF sel0 THEN q = d0;ELSE q = d1ELSE q = d1; END IF;END PROCESS; END EX1A; 否则,即否则,即selsel等于等于1 1时,输时,输 出选择出选择d1d1。LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY EX1 ISPORT(d0,d1,sel: IN STD_LOGIC;q: OUT STD_LOGIC); END EX1; ARCHITECTURE ARCHITECTURE EX1 EX1 _A OF _A OF EX1EX1 IS IS BEGINBEGINPR

5、OCESS(d0,d1,sel) PROCESS(d0,d1,sel) BEGIN BEGINIF IF selsel=0 THEN q = d0;=0 THEN q = d0;ELSE q = d1; ELSE q = d1; END IF; END IF;END PROCESS; END PROCESS; END END EX1 EX1 _A;_A; 在结构体中,在结构体中, 对实体功能的描对实体功能的描 述没有涉及到任述没有涉及到任 何有关电路结构何有关电路结构 。选择信号 sel输出信号 q 0 1d0 d1DateDate4 4三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程

6、系 范江波范江波 行为描述:行为描述:VHDLVHDL的结构体只描述所希望电路的功能或者说的结构体只描述所希望电路的功能或者说电路行为,而不直接指明或涉及实现这些行为的硬件结构。电路行为,而不直接指明或涉及实现这些行为的硬件结构。一、一、 行为描述行为描述行为描述只表示输入与输出间转换的行为,它不包含任何结构信息。行为描述主要使用函数、过程函数、过程和进程进程语句,以算法形式描述数据的变换和传送。DateDate5 5三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 特点:特点:(1) VHDL(1) VHDL的行为描述不存在任何与硬件选择相关的语句,也的行为描述不存在

7、任何与硬件选择相关的语句,也 不存在任何有关硬件内部连线方面的语句。行为描述方式是不存在任何有关硬件内部连线方面的语句。行为描述方式是 VHDLVHDL编程的核心,可以说,没有行为描述就没有编程的核心,可以说,没有行为描述就没有VHDLVHDL。 VHDLVHDL的的 强大系统描述能力,正是基于这种强大的行为描述方式。强大系统描述能力,正是基于这种强大的行为描述方式。(2) VHDL (2) VHDL的行为描述功能具有很大的优越性,但不能被逻辑的行为描述功能具有很大的优越性,但不能被逻辑综合。在应用综合。在应用VHDLVHDL系统设计时,行为描述方式主要是完成系统系统设计时,行为描述方式主要是

8、完成系统的设计与仿真,保证设计的正确性。的设计与仿真,保证设计的正确性。(3) VHDL(3) VHDL的行为描述程序中大量采用算术运算、关系运算、的行为描述程序中大量采用算术运算、关系运算、传输延时等难以逻辑综合的语句。传输延时等难以逻辑综合的语句。DateDate6 6三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EX16 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC);END EX16;ARCHITECTURE EX16

9、_A OF EX16 ISSIGNAL TMP1,TMP2,TMP3:STD_LOGIC;BEGINTMP1 = d0 AND sel;TMP2 = d1 AND (NOT sel);TMP3 = TMP1 OR TMP2;q = TMP3;END EX16_A;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EX16 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC);END EX16;ARCHITECTURE EX16_A OF EX16 ISSIGNAL TMP1,TMP2,TMP3:STD_LOG

10、IC;BEGINTMP1 = d0 AND sel;TMP2 = d1 AND (NOT sel);TMP3 = TMP1 OR TMP2;q = TMP3;END EX16_A;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EX16 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC);END EX16;ARCHITECTURE EX16_A OF EX16 ISSIGNAL TMP1,TMP2,TMP3:STD_LOGIC;BEGINTMP1 = d0 AND sel;TMP2 = d1 AND (N

11、OT sel);TMP3 = TMP1 OR TMP2;q = TMP3;END EX16_A;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EX2 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC);END EX2;ARCHITECTURE EX2_A OF EX2 ISSIGNAL TMP1,TMP2,TMP3:STD_LOGIC;BEGINTMP1 = d0 AND sel;TMP2 = d1 AND (NOT sel);TMP3 = TMP1 OR TMP2;q = TMP3;END EX2_A

12、;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EX2 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC);END EX2;ARCHITECTURE EX2_A OF EX2 ISSIGNAL TMP1,TMP2,TMP3:STD_LOGIC;BEGINTMP1 = d0 AND sel;TMP2 = d1 AND (NOT sel);TMP3 = TMP1 OR TMP2;q = TMP3;END EX2_A;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTIT

13、Y EX16 ISPORT(d0,d1,sel:IN STD_LOGIC;q:OUT STD_LOGIC);END EX16;ARCHITECTURE EX16_A OF EX16 ISARCHITECTURE EX16_A OF EX16 ISSIGNAL TMP1,TMP2,TMP3:STD_LOGIC; SIGNAL TMP1,TMP2,TMP3:STD_LOGIC;BEGINBEGINTMP1 = d0 AND TMP1 = d0 AND selsel; ;TMP2 = d1 AND (NOT TMP2 = d1 AND (NOT selsel); );TMP3 = TMP1 OR T

14、MP2; TMP3 = TMP1 OR TMP2;q = TMP3; q = TMP3;END EX16_A;END EX16_A; 在结构体中,该描在结构体中,该描 述是按照逻辑连接来进述是按照逻辑连接来进 行逻辑描述。行逻辑描述。DateDate7 7三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 数据流描述,也称数据流描述,也称RTLRTL描述,它是以类似于寄存器传输级描述,它是以类似于寄存器传输级 的方式描述数据的传输和变换,以规定设计中的各种寄存器形的方式描述数据的传输和变换,以规定设计中的各种寄存器形 式为特征,然后在寄存器之间插入组合逻辑。式为特征,然后在寄存器之间插入组合逻辑。二、数据流描述二、数据流描述DateDate8 8三门峡职业技术学院三门峡职业技术学院 机电工程系机电工程系 范江波范江波 特点:特点:(1)(1)数据流的描述风格是建立在并行信号赋值语句描述基础数据流的描述风格是建立在并行信号赋值语句描述基础 上的。当语句中任一输入信号的值发

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号