基于vhdl语言的彩灯控制器

上传人:Bod****ee 文档编号:47202970 上传时间:2018-06-30 格式:DOC 页数:35 大小:616.53KB
返回 下载 相关 举报
基于vhdl语言的彩灯控制器_第1页
第1页 / 共35页
基于vhdl语言的彩灯控制器_第2页
第2页 / 共35页
基于vhdl语言的彩灯控制器_第3页
第3页 / 共35页
基于vhdl语言的彩灯控制器_第4页
第4页 / 共35页
基于vhdl语言的彩灯控制器_第5页
第5页 / 共35页
点击查看更多>>
资源描述

《基于vhdl语言的彩灯控制器》由会员分享,可在线阅读,更多相关《基于vhdl语言的彩灯控制器(35页珍藏版)》请在金锄头文库上搜索。

1、目目 录录1 引 言.11.1 课程设计背景.11.2 可编程逻辑器件的应用.41.3 可编程逻辑器件的发展方向.51.4 EL-ARM-820 型教学实验系统介绍.62 理论基础.92.1 FPGA/CPLD 概述.92.2 VHDL 语言概述.112.3 MAX PLUS II 概述.133 彩灯控制器详细设计.163.1 设计方案.163.2 设计思路.183.3 模块设计.184 彩灯控制器的仿真.244.1 控制模块的仿真.244.2 检查模块的仿真.244.3 循环模块的仿真.254.4 时控模块的仿真.255 小 结.27参考文献.28附录:设计源程序清单.29基于基于 VHDL

2、VHDL 语言的彩灯控制器语言的彩灯控制器学生姓名:学生姓名:* 指导老师:指导老师:* 摘 要 本课题主要是基于可编程逻辑器件,使用硬件描述语言 VHDL,采用“自顶向下”的设计方法,编写一个彩灯控制器的芯片,并使用 Max Plus II 软件仿真结果。本文首先介绍了可编程逻辑器件的发展过程,然后对于编写彩灯控制器相关的理论知识作了说明,接着主要讲解了彩灯控制器的设计思路与模块划分。把彩灯控制器划分为两大模块,组合起来实现了彩灯控制及花型变化。最后,使用 Max Plus II 仿真软件对每个模块和主程序分别进行了结果仿真,并对仿真出来的结果作了分析。关键词 可编程逻辑器件;数字逻辑系统;

3、硬件描述语言;彩灯控制器Based on VHDL language of colored lights controllerThe students name: TangShengYan guide teacher: WuZhiMinPick to this topic is mainly based on programmable logic devices, use VHDL VHDL, adopting “top-down“ design methods, write a lantern controller chip, and use the Max Plus II software

4、 simulation results. This paper first introduced the programmable logic device development process, then write lights controller for the correlative theoretical knowledge, then explains the main explained lights controller design thoughts and module partition. The lights controller divided into two

5、big modules, combined realization with colored lights control and patterns change. Finally, the Max Plus II simulation software for each module and main program were studied respectively, and the simulation results of simulation out results are analyzed. Keywords programmable logic devices;Digital l

6、ogic system; Hardware description languages; Lights controller 1 1 引引 言言当今社会是数字化的社会,也是数字集成电路广泛应用的社会,数字电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路发展到超大规模集成电路(VLSIC)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望 ASIC 的设计周期尽可能的短,最好是在实验室里就能设计出合适的 ASIC 芯片,并且立即投入实际应用

7、之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。比较典型的就是 Xilinx 公司的 FPGA 器件系列和 Altera 公司的 CPLD 器件系列,它们开发较早,占用较大的 PLD 市场。目前,Altera 系列产品在我国使用较多。VHDL 的全名是 Very High Speed IC Hardware Description Language (极高速集成电路硬件描述语言)。它是一种应用较为广泛的 HDL 语言,能对范围广泛的各种复杂的网络(如电路系统、印刷电路板、芯片、逻辑门等)在不同的抽象级加以描述,而

8、且在整个设计过程中可使用同一种语言。采用 VHDL 作为 HDL 综合设计的优点有:标准语言,即设计者可在不同的环境(例如 MAX PLUS II)下进行设计;仿真和综合均可采用同一种语言进行;VHDL 中提供的大量的模块资源,简化了设计者的开发工作;由 VHDL 描述的源文件既是程序软件又可作为设计的文档。目前可编程逻辑器件(PLD)已有含单片高达 100000 等效门的器件出现,可实现复杂的数字系统。当系统规模不太大时,原理图输入方式描述还较适宜,但系统比较复杂时,它将难以快速有效地建立描述文件。VHDL 语言能方便地进行数字系统描述,且能使逻辑综合产生更大的设计密度。正是高效 VHDL 技术与高密度 PLD 的结合使用,大大降低了复杂数字系统的设计难度,提高了工作效率。本文介绍了 CPLD 与 FPGA 逻辑器件的基本知识和相关的软件MAX PLUS II及硬件编程语言VHDL。主要论述了一个彩灯控制器从设计思路到系统仿真的整个设计过程。1.11.1 课程设计背景课程设计背景随着经济的进一步发展,人们的生活也在飞速改变,各种家用电器和设备正以极快的速度进入寻常百姓家。彩灯作为一种很重要的商业娱乐生活点缀工具也没有例外地深入到人们生活的方方面面。人们正以极大的热

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号