基于fpga+mcu伺服电机控制器设计毕业论文

上传人:Bod****ee 文档编号:47172172 上传时间:2018-06-30 格式:DOC 页数:47 大小:1.89MB
返回 下载 相关 举报
基于fpga+mcu伺服电机控制器设计毕业论文_第1页
第1页 / 共47页
基于fpga+mcu伺服电机控制器设计毕业论文_第2页
第2页 / 共47页
基于fpga+mcu伺服电机控制器设计毕业论文_第3页
第3页 / 共47页
基于fpga+mcu伺服电机控制器设计毕业论文_第4页
第4页 / 共47页
基于fpga+mcu伺服电机控制器设计毕业论文_第5页
第5页 / 共47页
点击查看更多>>
资源描述

《基于fpga+mcu伺服电机控制器设计毕业论文》由会员分享,可在线阅读,更多相关《基于fpga+mcu伺服电机控制器设计毕业论文(47页珍藏版)》请在金锄头文库上搜索。

1、 毕业设计(论文)基于 FPGA+MCU 的伺服电机控制器设计基于基于 FPGA+MCUFPGA+MCU 的伺服电机控制器设计的伺服电机控制器设计摘 要本文首先对通用伺服控制平台项目做了整体的设计规划,并着重分析了基于 FPGA 的电机接口模块的设计和核心算法,给出相应的接口电路,并对等精度算法和设计思路在ALTERA QUARTUS 9.0 的环境下进行了仿真,在实验电路上进行了测试。主要目的在于研究出一款高性能的基于 FPGA 的伺服电机接口模块。关键词:伺服控制平台 FPGA 等精度算法 电机接口模块 THE DESIGN OF FPGA+MCU BASED SERVOMOTOR CON

2、TROLLERABSTRACTThis first general-purpose of this dissertation is the overall project design and planning for the servo control platform, and also it analyzed the core algorithms for the FPGA based interface design. Given a appropriate interface circuit ,An equal precision algorithms designed with F

3、PGA is introducedIt adopts Verilog HardwareDescription Language to implement in servo motor interface modules ,and adds pulse width measurement on the base of traditional frequency measurement, and the HDL was simulated in the ALTERA QUARTUS 9.0 environment. Intended to design a high-performance FPG

4、A-based servo motor interface module. Keywords:servo control platform FPGA equal precision algorithm servo motor interface module目 录摘要ABSTRACT1 引言 11.1 项目背景 11.2 研究意义 1 2 系统组成222.1 运动控制器 222.1.1 MCU 功能 222.1.2 FPGA 功能 222.1.3 光电隔离模块功能 222.2 伺服驱动器 222.2.1 常用伺服驱动器简介 222.3 伺服电机332.3.1 伺服电机简介332.3.2 伺服电

5、机工作原理442.3.3 伺服电机的控制442.4HMI 442.5 控制器上位机部分442.5.1 上位机功能442.6 以太网模块442.6.1 以太网模块功能44 3 FPGA 接口模块详细介绍553.1 实现功能553.1.1 速度控制模块 553.1.2 位置控制模块 553.1.3 CPU 接口模块 663.2 速度与位置检测算法讨论663.2.1M 法663.2.2T 法663.2.3 等精度测频算法663.2.4 高精度数字测速算法993.3 速度与位置控制算法20203.4 硬件部分14143.4.1ALTERA FPGA 介绍 14143.4.2FPGA 硬件部分 1414

6、3.4.2.1 电源及晶振部分 14143.4.2.2 内存及 FLASH 15153.4.2.3 主芯片15153.4.2.4JTAG 下载16163.4.3 输入输出光电隔离17173.4.3.1 输入光电隔离模块17173.4.3.2 输出光电隔离模块18183.5 软件部分 18183.5.1 verilog HDL 编程语言介绍 18183.5.2 输入输出端子定义18183.5.3 寄存器定义19193.5.4FPGA 架构20203.5.5 主要模块介绍 20204 仿真实验结果 22225 应用案例 24246 结论 2626 谢辞参考文献附录1 引 言1.1 项目背景此项目为

7、待开发项目基于 RABBIT5700+FPGA 的伺服电机控制器的前期规划方案,目的在于帮助研发人员了解伺服电机控制器的有关知识和给出基于 FPGA 的接口模块的设计概要说明。1.2 研究意义目前国内主力通用的伺服电机生产厂商主要有:众为兴、雷赛、固高、中达电通、摩森达等,趋于研发成本的考虑,大多数厂家在电机编码器接口芯片选择上,倾向于采用集成芯片如 MX314,PCL6045 等,但是随着控制要求的如见复杂,在一个 ASIC 上实现多轴的控制越来越吃力,这就刺激着我们回归基于 MCU+FPGA 的架构,把所有的多轴运算全都放到 MCU 里。本次设计着重对接口 FPGA 的架构和主要检测算法进

8、行讨论,对接口FPGA 的设计,具有一定参考意义。2 系统组成2.1 运动控制器主要实现对增量编码器信号的采集,以及对电机驱动器的控制,通过上位机发送过来的指令,在控制器里进行插补运算,再通过 FPGA 接口模块传递给驱动器。2.1.1 MCU 功能a.通过自身的以太网模块,接受上位控制机的指令b.多轴插补运算c.通过与 FPGA 的借口,控制 FPGA 发出控制脉冲2.1.2 FPGA 功能a.采集增量编码器的信号b.通过与 MCU 的接口,把速度,位置等信息传给 MCUc.通过与 MCU 的接口,接受 MCU 命令,实现单轴操作。2.1.3 光电隔离模块构成实现输入输出光电隔离2.2 伺服

9、驱动器应用于伺服电机的专用伺服驱动单元被称为伺服驱动器。2.2.1 常用伺服驱动器简介运动控制 器上位机运动控制 器电机驱动 器HMI终端M 电机100M实时以太网以太网模块6M总线IO 模块 1IO 模块 2SIMODRIVE 611U 的简介:西门子 SIMODRIVE 611 是一种模块化晶体管脉冲变频器,可以实现多轴及组合驱动的解决方案。基于其模块化的设计,使用 SIMODRIVE 可以根据具体的驱动任务来制定灵活多变的解决方案。驱动器的各模块从功能上分为 6 个部分:1)电源模块2)变频模块3)反馈模块4)监控模块5)功率模块6)控制模块611U 伺服驱动器各模块组成示意图2.3 伺服电机2.3.1 伺服电机简介一个伺服电机内部一般包括一个直流电机、一组变速齿轮组、一个反馈可调电位器以及一块电子控制板。其中,高速转动的电机提供了原始动力,带动变速(减速)齿轮组,使之产生高扭力的输出。齿轮组的变速比越大,伺服电机的输出扭力也越大,也就是说越能承受更高的负载,但转动的速度也相应越低。 2.3.2 伺服电机工作原理伺服电机是一个典型闭环反馈系统,减速齿轮组由电机驱动,其终端(输出端)带动一个线性的比例电位器作位置检测,该电位器把

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号