CH12_UP2实验板介绍与 元件烧录

上传人:飞*** 文档编号:46325694 上传时间:2018-06-25 格式:PPTX 页数:53 大小:8.74MB
返回 下载 相关 举报
CH12_UP2实验板介绍与 元件烧录_第1页
第1页 / 共53页
CH12_UP2实验板介绍与 元件烧录_第2页
第2页 / 共53页
CH12_UP2实验板介绍与 元件烧录_第3页
第3页 / 共53页
CH12_UP2实验板介绍与 元件烧录_第4页
第4页 / 共53页
CH12_UP2实验板介绍与 元件烧录_第5页
第5页 / 共53页
点击查看更多>>
资源描述

《CH12_UP2实验板介绍与 元件烧录》由会员分享,可在线阅读,更多相关《CH12_UP2实验板介绍与 元件烧录(53页珍藏版)》请在金锄头文库上搜索。

1、VHDL數位電路設計實務教本 使用Quartus II儒林圖書公司 TB0611UP2實驗板介紹與 元件燒錄 第十二章UP1/UP2實驗板簡介 2UP1/UP2實驗板簡介 3UP1實驗板的Jumper設定4實驗板上設有四組JUMPERS(TDI、TDO、DEVICE、BOARD)提供使用 者設定JTAG的型態,其設定型態如下:燒錄EPM7128S元件 燒錄EPF10K20元件 燒錄EPM7128S 和EPF10K20兩元件 連接數個UP1實驗板 下載至EPM7128S元件與FLEX 10K元件兩種不同的Jumper 設定方式 C1、C2、C3連結頭的位置EPF10K20RC240-4元件 及周

2、邊連線元件 5FLEX_DIGIT: 兩個共陽極接法的七段顯示器,當連接至七段顯示器上LED之訊號為LOGIC 0 (低準位)時,七段顯示器上的LED會被驅動而發亮,反之LED會熄滅。EPF10K20RC240-4元件 及周邊連線元件6FLEX_PB1和FLEX_PB2: 按鈕開關,提供Active_Low訊號,未按下時為LOGIC 1(高準位),按下後送出 LOGIC 0(低準位)訊號。 EPF10K20RC240-4元件 及周邊連線元件7FLEX_SWITCH: 一組8個DIP的指撥開關,當開關被撥下時代表LOGIC 0(低準位),反之為 LOGIC 1(高準位)。 EPF10K20RC2

3、40-4元件 及周邊連線元件8PS/2 埠: 可允許FLEX10K元件藉此介面接收PS/2 Mouse或PS/2 Keyboard的資料。EPF10K20RC240-4元件 及周邊連線元件9SignalPin NumberRed1Green2Blue3Ground11Horizontal Sync.13Vertical Sync.14VGA介面: VGA介面提供使用者利用FLEX10K來控制外界的視訊螢幕,FLEX10K透過五個 訊號來傳遞有關螢幕上色彩以及行、列位置的資訊。五個控制VGA的訊號分別為 Red(紅)、Green(綠)、Blue(籃)和垂直、水平同步訊號,正確操作這幾 個訊號即可

4、讓我們設計的圖像成功的顯示在實驗板的外接VGA螢幕畫面上。 CLOCK: 振盪頻率為25.175MHz的時脈連接至FLEX10K元件的第91 PIN腳位。 EPF10K20RC240-4元件 及周邊連線元件10EPF7128SLC84-7元件 的I/O腳位及周邊元件 11P1、P2、P3和P4:EPF7128SLC84-7元件 的I/O腳位及周邊元件 12MAX_PB1和MAX_PB2:兩個按鈕開關,但使用者在利用時需自行利用跳線的方式將EPF7128SLC84-7 元件的接點(P1、P2、P3、P4四組母接頭)連接至壓按開關旁的母接頭上( P9和P10)。EPF7128SLC84-7元件 的

5、I/O腳位及周邊元件 13MAX_SW1和MAX_SW2:兩組8個DIP的指撥開關,當開關撥下時代表LOGIC 0(低準位),反之則為 LOGIC 1(高準位)。使用者在使用時同樣必須利用跳線的方式將 EPF7128SLC84-7元件的接點(P1、P2、P3、P4四組母接頭)連接到開關的母 接頭上。 EPF7128SLC84-7元件 的I/O腳位及周邊元件14D1、D2、.、D16: MAX_DIGIT: 兩個共陽極接法的七段顯示器 元件燒錄 Altera FLEX EPF10K20RC240-4元件的燒錄 15連接JTAG PORT至電腦印表機並列埠,並接上9V的直流電源 將UP1實驗板之J

6、umper設定調整 至正確位置Altera FLEX EPF10K20RC240-4元件的燒錄 Example:按鈕開關的測試16以一個AND gate功能的電路來測試UP1實驗板上FLEX_PB1和FLEX_PB2按鈕開關 的功能,輸出則顯示在FLEX_DIGIT元件之十位數位置的七段顯示器小數點上。 Altera FLEX EPF10K20RC240-4元件的燒錄 Example:按鈕開關的測試(續)17library ieee; use ieee.std_logic_1164.all;entity andgate is port( FLEX_PB1,FLEX_PB2: in std_lo

7、gic;Digit1dp: out std_logic ); end andgate;architecture a of andgate is beginDigit1dp col_s col_s col_s col_s col_s col_s col_s col_s= 492 AND r =659 and c=480 and r=640 and c64X48 ENTITY VGA_drive_resolution IS PORT( CLOCK,RESET: IN std_logic;HOR_SYN,VER_SYN,video_on: OUT std_logic;C_new:out INTEGE

8、R RANGE 0 TO 63;-新的行數 64R_new:out INTEGER RANGE 0 TO 47); -新的列數 48 END VGA_drive_resolution ;ARCHITECTURE arch OF VGA_drive_resolution IS SIGNAL r: INTEGER RANGE 0 TO 525;- 列計數器 (525 Lines/frame) SIGNAL c: INTEGER RANGE 0 TO 799;- 行計數器 (800 dots/Lines)signal cc : integer range 0 to 63; -產生新映射的行數 sig

9、nal count_cc : integer range 0 to 9; - 每十行一數signal rr : integer range 0 to 47;- 產生新映射的列數 signal count_rr : integer range 0 to 9; - 每十列一數BEGIN1.同步信號產生以及解析度轉換 5012-4 VGA螢幕顯示原理與控制實習VGA螢幕解析度的轉換 PROCESS(CLOCK,RESET) - 行計數器 800 dots / line BEGIN IF RESET = 0 THEN c = 492 AND r =659 and c=480 and r=640 and

10、 c=480 and r=640 and c=480 and r=524 THEN count_rr =0; rr=0; ELSIF cc = 63 and count_cc=9 then IF count_rr = 9 THEN count_rr = 0; rr = rr + 1;ELSE count_rr = count_rr + 1 ; rr = rr ; END IF;END IF;END IF; end process;R_new= rr ;END arch; 1.同步信號產生以及解析度轉換(續) 53VGA螢幕解析度的轉換 2.色彩顯示範圍設定12-4 VGA螢幕顯示原理與控制實習

11、ENTITY frame_div2 IS PORT ( R : in integer range 0 to 47;video_on : in std_logic;R_out,G_out,B_out : out std_logic); END frame_div2;ARCHITECTURE arch OF frame_div2 IS signal Red,Green,Blue : std_logic; BEGINPROCESS(R) BEGIN if video_on=1 thenIF R23 then green =1;red=0;blue=0;else green =0;red=1;blue=0;end if; else green =0;red=0;blue=0; end if; end process; R_out =red; G_out =green; B_out =blue;END arch;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号