基于FPGA的CDMA数字基带系统设计

上传人:lizhe****0001 文档编号:45286996 上传时间:2018-06-15 格式:DOCX 页数:14 大小:680.10KB
返回 下载 相关 举报
基于FPGA的CDMA数字基带系统设计_第1页
第1页 / 共14页
基于FPGA的CDMA数字基带系统设计_第2页
第2页 / 共14页
基于FPGA的CDMA数字基带系统设计_第3页
第3页 / 共14页
基于FPGA的CDMA数字基带系统设计_第4页
第4页 / 共14页
基于FPGA的CDMA数字基带系统设计_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《基于FPGA的CDMA数字基带系统设计》由会员分享,可在线阅读,更多相关《基于FPGA的CDMA数字基带系统设计(14页珍藏版)》请在金锄头文库上搜索。

1、摘 要随着现代通信技术的发展,特别是移动通信技术的高速发展,CDMA 技术越来越被人们所关注。而基于 FPGA 的 CDMA 数字基带系统正是一种新兴的具有很大可行性的技术。本文给出了 CDMA 数字基带收发系统的设计方案,并以Xilinx ISE 8.1 为硬件开发平台,利用 FPGA 实现了 4 路信息信号的扩频、编码调制和解扩、解调、验证了初始方案的可行性。运用 VHDL 语言,实现对 CDMA 通讯系统的上行链路数字部分进行设计,对有关模块的编译,编译通过后的结果,以及使用 Xilinx 系列芯片通过仿真得到波形,证明了整个系统原理和设计提出的正确性。关键词关键词:CDMA;数字基带;

2、FPGA;Xilinx目录目录1.课程设计目的.12.软、硬件环境介绍.14.CDMA 基带传输系统简介.25.CDMA 基带系统设计.25.1 系统设计平台 .25.2 CDMA 系统设计原理 .26.系统总体设计.36.1 CDMA 的整体设计框图 .36.2 利用 VHDL 语言编程实现的分频电路模块 .47.本系统完成的功能.47.1 Walsh 码发生器 .47.1.1 生成 Walsh 码调制(地址编码)的模块图 .57.2 PN 码、信息码发生器 .57.2.1 生成 PN 扩频的模块图 .57.3 调制与解调 .68. 程序代码.78.1 分频器模块 .78.2 PSK 模块

3、.78.3 串并转换模块 .89.仿真调试与结果.910.设计心得体会.1111.参考文献.1211.1.课程设计目的课程设计目的应用电子技术综合实训是电子信息工程专业技术教育的重要实践教学环节,对学生掌握基本理论、运用基本知识、训练基本技能和达到技术教育培养目标的要求有着十分重要的意义和作用。通过对具体应用电子电路的设计和开发过程的练习,加深学生对基础理论的理解,掌握设计电路、开发电路和实现电路的能力,能熟练应用开发软件。培养学生独立思考、解决实际工程问题的能力,为专业理论知识的学习和专业技能训练打好坚实的基础。2.2.软、硬件环境介绍软、硬件环境介绍1. 软件环境:Windows XP 操

4、作系统、Xilinx ISE8.1 EDA 集成开发环境;2. 硬件环境:个人计算机一台3. Xilinx ISE8.1 EDA 集成开发环境介绍:ISE 的全称为 Integrated Software Environment,即“集成软件环境” ,是 Xilinx 公司的硬件设计工具。相对容易使用的、首屈一指的 PLD 设计环境。ISE 将先进的技术与灵活性、易使用性的图形界面结合在一起,能在最短的时间,以最少的努力,达到最佳的硬件设计。Xilinx 公司的 ISE 开发设计软件的工程设计流程,具体分为五个步骤:即输入(Design Entry) 、综合(Synthesis) 、实现(Im

5、plementation)、验证(Verification) 、下载(Download) 。4. Xilinx 公司介绍:Xilinx(赛灵思)是全球领先的可编程逻辑完整解决方案的供应商。Xilinx研发、制造并销售范围广泛的高级集成电路、软件设计工具以及作为预定义系统级功能的 IP(Intellectual Property)核。客户使用 Xilinx 及其合作伙伴的自动化软件工具和 IP 核对器件进行编程,从而完成特定的逻辑操作。Xilinx公司成立于 1984 年,Xilinx 首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于 1985 年首次推出商业化产品。眼下 Xilinx

6、 满足了全世界对 FPGA产品一半以上的需求。Xilinx 产品线还包括复杂可编程逻辑器件(CPLD) 。在某些控制应用方面 CPLD 通常比 FPGA 速度快,但其提供的逻辑资源较少。2Xilinx 可编程逻辑解决方案缩短了电子设备制造商开发产品的时间并加快了产品面市的速度,从而减小了制造商的风险。与采用传统方法如固定逻辑门阵列相比,利用 Xilinx 可编程器件,客户可以更快地设计和验证他们的电路。而且,由于 Xilinx 器件是只需要进行编程的标准部件,客户不需要象采用固定逻辑芯片时那样等待样品或者付出巨额成本。Xilinx 产品已经被广泛应用于从无线电话基站到 DVD 播放机的数字电子

7、应用技术中。4.4. CDMACDMA 基带传输系基带传输系统简介统简介CDMA(Code Division Multiple Access)是在数字通信技术的分支扩频通信的基础上发展起来的一项技术。它主要用于利用相互正交(或者尽可能正交)的不同编码分配给不同用户调制信号,实现多用户同时使用同一频率接入系统和网络的通信。本文针对 CDMA 码分多址技术设计 1 个 4 路用户传输系统 , 省去了载波调制部分 , 把 CDMA 扩频系统中传输的信号简化为数字基带信号 , 并做出一个与之相应的扩频编码调制收发系统,系统采用 Walsh 函数正交码作为地址码调制原数据信号 , 选用 m 序列作为扩频

8、的伪随机码。5.5. CDMACDMA 基带系统设计基带系统设计5.1 系统设计平台开发工具软件采用 Xilinx 公 司 的 EDA 工 具 Xilinx ISE。利用 ISE 中提供的编程语言设计方法和图形设计方法实现系统各部分的功能。5.2 CDMA 系统设计原理 CDMA 技术基于扩频通信的基本原理,将要传送的具有一定信号带宽的信息数据,用一个带宽远大于信号带宽的高速伪随机编码信号去调制它,使原信息数据信号的带宽被大大扩频,再经载波调制后发射出去。接收端则使用完全相同的伪随机码,与接收的带宽信号做相关处理,把宽带信号变换成信息数据的窄带信号(解扩)实现数据通信。这种3扩频通信的明显特点

9、是采用编码调制、频谱扩频和相关处理技术。使用扩频编码调制易于保密也可供多用户使用。另外,对信息数据的频谱扩展,使功率谱密度明显降低,既不容易被别人发现又不容易干扰别人。CDMA 通信多址干扰的大小决定于扩频编码间的互相关值,如果该值非常小乃至可以忽略,那么接收调解输出结果就只有原数据信号和噪声。所以 CDMA 可在同一载波频率上同时传送多个用户的信息、数据、实现多址通信。编码之间的互相关值越小,多址通信用户就越多。6.6. 系统总体设计系统总体设计6.1 CDMA 的整体设计框图整体框图如图 1 所示。说明:图 1 中“”表示信号是 1 路, “”表示信号是 4 路。触发时钟 : 该收发系统受

10、同步时钟控制,在全局时钟的基础上进行分频,详见表 1。表表 1 1 触发时钟分频触发时钟分频图图 1 1 CDMACDMA 基带收发系统概念框图基带收发系统概念框图46.2 利用 VHDL 语言编程实现的分频电路模块图图 2.2.实现的多分频模块图实现的多分频模块图7.7.本系统完成的功能本系统完成的功能本收发系统主要由调制器和解调器两部分组成。它们完成的功能如下:(a)调制器:内嵌于编码器中的信息信号发生器产生的 4 路输入信号,经 Walsh 调制、PN 扩频、基带求和与并串变换成为 1 路信号,完成调制。(b)解调器:接收端将收到的 1 路信号首先进行串并变换,在取得同步的基础上进行 P

11、N 解扩和 Walsh 解调,恢复出 4 路输入信息。7.1 Walsh 码发生器Walsh 函数是一种非正弦的完备正交函数集。由于它采用的是数字系统,比较适合于用来表达和处理数字信号。考虑到是数字基带系统 , 本系统采用的 Walsh 码组应为:W1=1,1,1,1;W2=1,0,1,0;W3=1,1,0,0;W4=1,0,0,1。该发生器利用 ISE 编程实现较简单,在 Walsh 码时钟(全局时钟的 24 分频)的控制下,每出现一次时钟跳变事件,输出端以 4 为周期依次输出1111,1010,1100 和 1001 的码序列。沃尔什 (Walsh) 码是正交码,经常被用作码分多址系统的地

12、址码,Walsh码产生模块作为扩频传输的地址码,并且具有检测使用中的两路 Walsh 码是否5正交的功能。本设计中使用 Walsh,存储在发射端数据寄存器中,扩频过程中根据 Walsh 码的地址控制信号。抽取两路 Walsh 码分别对两路消息码进行扩频。接收端截获串行的数字信息流后 ,从接收端的数据寄存器中取出 Walsh 码对接收信号进行解扩。Walsh 码电路的设计思路运用了译码的原理来实现。7.1.1 生成 Walsh 码调制(地址编码)的模块图Walsh 码调制所生成的模块图为图 3 所示。图图 3 3 WalshWalsh 码调制模块图码调制模块图Walsh 码调制的仿真图为图 4

13、所示。图图 4 4 WalshWalsh 码调制仿真结果图码调制仿真结果图7.2 PN 码、信息码发生器利用 7 个 D 触发器相互级联实现周期为 127 的 PN 序列。具体构成依据 m 序列特征多项式 , 由 ISE 提供图形设计方法实现。PN 码时钟为全局时钟的 3 分频。信息码的产生则只需在信息码时钟的控制下 , 从同样的级联中引出 4 路信号作为信息码 , 信息码时钟为全局时钟的 96 分频。7.2.1 生成 PN 扩频的模块图由 PN 扩频所生成的模块图为图 5 所示。6图图 5 5 PNPN 扩频所生成的模块图扩频所生成的模块图7.3 调制与解调在数字基带系统中,Walsh 码调制可以简单地用同或门来实现,而 PN 扩频则可通过简单的异或门实现。在实

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号