基于FPGA的步进电机控制系统的数字硬件设计研究

上传人:lizhe****0001 文档编号:44925542 上传时间:2018-06-14 格式:DOC 页数:48 大小:742KB
返回 下载 相关 举报
基于FPGA的步进电机控制系统的数字硬件设计研究_第1页
第1页 / 共48页
基于FPGA的步进电机控制系统的数字硬件设计研究_第2页
第2页 / 共48页
基于FPGA的步进电机控制系统的数字硬件设计研究_第3页
第3页 / 共48页
基于FPGA的步进电机控制系统的数字硬件设计研究_第4页
第4页 / 共48页
基于FPGA的步进电机控制系统的数字硬件设计研究_第5页
第5页 / 共48页
点击查看更多>>
资源描述

《基于FPGA的步进电机控制系统的数字硬件设计研究》由会员分享,可在线阅读,更多相关《基于FPGA的步进电机控制系统的数字硬件设计研究(48页珍藏版)》请在金锄头文库上搜索。

1、毕业论文基于基于 FPGAFPGA 的步进电机控制的步进电机控制 系统的数字硬件设计研究系统的数字硬件设计研究作 者 姓 名: 专 业 名 称:电子信息科学与技术专业指 导 老 师: 基于 FPGA 的步进电机控制系统的数字硬件设计研究-I-摘要摘要步进电机因其能够精确地控制机械的移动量而被广泛采用。步进电机是由输入的脉冲信号来加以控制的。模拟电路虽然可以用来产生连续 可调的脉冲信号,但却难以控制,而用数字集成电路来对步进电机进行 控制,则能克服以上缺点。现在,数字集成电路的设计越来越多地采用 VHDL + FPGA 的设计 方法。采用 VHDL 的设计方法有着不依赖器件、移植容易、能加快设计

2、 的特点。并且,VHDL 在现场就能进行修改,与 FPGA 器件相结合,能 大大提高设计的灵活性与效率,缩短产品的开发周期,加快产品的上市时间。VHDL + FPGA 的设计方法是数字系统设计的一个创新,也是未 来的一种发展方向。步进电机是一种将电脉冲转化为角位移的执行机构,已广泛应用于 各种自动化控制系统中。为了提高对步进电机的细分要求,提出了基于 FPGA 控制的步进电机控制器方案。给出了用 VHDL 语言层次化设计各 功能模块的过程,利用 Quartus进行仿真,给出了仿真结果,并成功地 在 FPGA 器件上验证了设计的可能性。采用 FPGA 器件和 VHDL 语言, 只需修改模块程序参

3、数,而无须修改硬件电路就能实现各种控制。该设 计硬件结构简单可靠,可根据实践需要灵活方便进行配置。关键词:VHDL FPGA 步进电机基于 FPGA 的步进电机控制系统的数字硬件设计研究-II-AbstractAbstractStepper motors can be precise because of mechanical control of the movement of production and are widely used. Stepper motor input from the pulse signal to control. Although analog circui

4、ts can be used to produce continuously adjustable pulse signal, but it is difficult to control, and the use of digital integrated circuits to the stepper motor control, to overcome the above drawbacks. Now, digital IC design increasingly used VHDL + FPGA design methods. VHDL design is not dependent

5、on devices, easily transplanted, can speed up the design characteristics. Furthermore, VHDL at the scene can make changes and FPGA devices combine can greatly improve the design flexibility and efficiency, shorten product development cycles, accelerate product time to market. VHDL + FPGA design meth

6、odology for digital systems design an innovative, as well as the future direction of development. Stepping motor is a electrical impulses into angular displacement of the executive agency, has been widely used in all kinds of automatic control system. In order to improve the stepper motor subdivisio

7、n requirements, is put forward based on FPGA control stepping motor controller scheme. In this paper, a VHDL language the function module design of hierarchical process, use Quartus simulation, then the simulation results, and succeeded in the FPGA device validate design of possibilities. Based on F

8、PGA device and VHDL language, only modify module procedure parameters, without having to change hardware circuit can achieve all kinds of control. The hardware design of simple structure and reliable, but according to the practice needs is flexible and convenient for configuration.Keywords : FPGA,VH

9、DL, stepper motor基于 FPGA 的步进电机控制系统的数字硬件设计研究-III-目录目录摘要.I Abstract.II 目录.III 前言.1 1 步进电机脉冲信号发生器原理与设计要求.2 1.1 步进电机原理 .2 1.2 测试原理 .3 1.3 设计要求 .4 1.4 目前可以实现的方法及比较 .5 2 本研究课题所涉及的主要理论和技术.7 2 .1 数字系统现场集成技术的基本概念 .7 2.1.1 工艺集成技术.7 2.1.2 现场集成技术.8 2.2 现场集成的编程方式 .9 2.2.1 高密度型和低成本型的可编程逻辑器件.9 2.3 VHDL 设计方法及技术原理 .10 2.3.1 VHDL 的发展概况 .10 2.3.2 VHDL 设计综合过程 .11 2.3.3 设计要求的定义 .11 2.3.4 采用 VHDL 进行设计描述 .11 2.4 EDA 工具.12 3 设计与实现.13 3.1 采用的设计方案 .13 3.2 VHDL 设计 .16 3.2.1 step_control.vhd 部分.16 3.2.2 Step_wave . vhd 部分.21 4 设计调试与问题讨论.26 4.1 设计调试 .26 4.2 问题讨论 .

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号