数电课程设计报告-自动洗衣机

上传人:bin****86 文档编号:44340886 上传时间:2018-06-09 格式:DOC 页数:20 大小:407KB
返回 下载 相关 举报
数电课程设计报告-自动洗衣机_第1页
第1页 / 共20页
数电课程设计报告-自动洗衣机_第2页
第2页 / 共20页
数电课程设计报告-自动洗衣机_第3页
第3页 / 共20页
数电课程设计报告-自动洗衣机_第4页
第4页 / 共20页
数电课程设计报告-自动洗衣机_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《数电课程设计报告-自动洗衣机》由会员分享,可在线阅读,更多相关《数电课程设计报告-自动洗衣机(20页珍藏版)》请在金锄头文库上搜索。

1、1数数 电电 课课 程程 设设 计计 报报 告告课课题:全自动洗衣机题:全自动洗衣机报报 告告 人:人:X XX X 学学号:号:XXXXXXXXXXXXXX班班级:级:XXXXXX 设计时间:设计时间:XXXX-XXXXXXXX-XXXX2目目 录录一、全自动洗衣机的功能.21、功能说明.22、状态机状态.23、按键.2二、程序清单.21、主控制程序.22、设置时间模块.83、键盘转按键.104、LCD 显示模块.125、LED 模块.156、蜂鸣器模块.16三、模拟演示.17四、仿真波形.17五、原理图.18六、心得体会.193一、一、全自动洗衣机的功能:全自动洗衣机的功能:1、功能说明:

2、、功能说明:1)复位,设定洗涤时间,注水,开始洗涤,放水,甩干,结束。 2)复位后,直接拨拨码开关 3,即可跳过洗涤过程直接进行甩干。 3)故障模拟时,能够自动结束运行并鸣响提醒,直到故障信号结束。 4)正常运行流程结束时,有 1 秒的鸣响。 5)在洗涤过程中,实现正转 3 秒,暂停 1 秒,反转 2 秒的循环工作模拟。 注:由于实际的洗衣机中,放水结束和报警是由感应设备感应的,在设计中, 我们用拨码开关开关代替。2、状态机状态:、状态机状态:S0:初始化 S1:等待 S2:时间设定 S3:注水 S4:洗涤 S5:放水 S6:甩干 S7:结束 S8:报警 S9:空状态 S10:时间设定的延时3

3、、按键:、按键:拨码开关: 1:复位(R) 2:开始 (START) 3:开始甩干(START_SG) 4:时间设定 (SET-TIME) 5:注水结束(IN_END) 6:放水结束 (OUT_END) 7:无 8:故障(GZ) 键盘: 1:SL+1 2:SH+1 3:ML+1 C:MH+1 二、程序清单二、程序清单1、主控制程序:、主控制程序:LIBRARY IEEE;4USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY CONTROLLER is

4、PORT (CPIN,R,START,START_SHUAIGAN,SET_TIME,IN_END,OUT_END,GUZHANG:IN STD_LOGIC;MH:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -分十位ML:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -分个位SH:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -秒十位SL:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -秒个位MHQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -分十位MLQ:OUT STD_LOGIC_V

5、ECTOR(3 DOWNTO 0); -分个位SHQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -秒十位SLQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -秒个位SPEAKER,ERROR_LIGHT,DONE :OUT STD_LOGIC;MODEL:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);MOTOR:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); END ENTITY ; ARCHITECTURE XYJ OF CONTROLLER IS SIGNAL CPCT :INTEGER RANGE

6、0 TO ; SIGNAL CP,SPEAK:STD_LOGIC; SIGNAL ZT_N : INTEGER RANGE 0 TO 99; SIGNAL CNT: INTEGER RANGE 0 TO 99; SIGNAL TMP1,TMP2,SGTime,RING_Time,ZTime,TMPTime:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL MODEL_S:STD_LOGIC_VECTOR(3 DOWNTO 0); TYPE STATES IS (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9); TYPE S4STATES IS (P0,P1

7、,P2,P3); SIGNAL S : STATES; SIGNAL P : S4STATES; SIGNAL MHT:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL MLT:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SHT:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SLT:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(CPIN,R) BEGIN IF R=1 THENCPCT MODEL_SMODEL_SMODEL_S MODEL_SMODEL_S MODEL_

8、SMODEL_SX“00“ THENSGTimeMODEL_SX“00“ THENSPEAK MODEL_S NULL;END CASE; END IF; END PROCESS; PROCESS (MODEL_S) BEGIN MODEL P MOTOR0 THENIF ZTime0 THENZTime MOTOR0 THENIF ZTime0 THENZTime MOTOR0 THENIF ZTime0 THENZTime NULL;END CASE;9ELSEMOTORRSTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEY

9、OUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTNULL;END CASE;ELSESRSTRST NULL;END CASE;END IF; END IF; END PROCESS;end architecture JGT;134、LCD 显示模块:显示模块:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity LCD isport ( CPIN,RESET,BUSY:IN STD_LOGIC;MH,ML,SH,SL:IN STD_LOGIC_VECTO

10、R(3 DOWNTO 0);MODL: IN STD_LOGIC_VECTOR(3 DOWNTO 0);CLK,RST,STROBE,OUTLINE : OUT STD_LOGIC;DATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);ADDR : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); end entity ; architecture JGT of LCD isTYPE STATES IS (S0,S1,S2,S3,S4);SIGNAL S: STATES;SIGNAL LCDPT : INTEGER RANGE 0 TO 9;SIGN

11、AL CPCT : INTEGER RANGE 0 TO 65535;SIGNAL CP: STD_LOGIC;SIGNAL C1,C2,C3,C4: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINPROCESS(CPIN,RESET) -分频为 500US 周期 BEGIN CLKS214- :Y: -S3 - :N: NULL -S2:给出 STROBE 信号。转 S1 -S1,S2 完成初始化固定显示的功能 -S3S4 完成扫描显示功能 -S3:BUSY=0? :Y: LCDPT 循环+1 ,转 S4 -S4:给出 STROBE 信号,转 S3PROCESS(CP,RESET) BEGIN IF RESET=1 THENS S RST S STROBE STROBE NULL;END CASE; END IF;END PROCESS;-选择输出进程(LCDPT) - LCDPT=0,NULL - =1 显示 :3AH,5 - =2,3,4,515- W 57H,9 A 41H,10 I 49H,11 T

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号