基于fpga的mfsk调制电路设计与仿真

上传人:子 文档编号:44171627 上传时间:2018-06-08 格式:DOC 页数:6 大小:57KB
返回 下载 相关 举报
基于fpga的mfsk调制电路设计与仿真_第1页
第1页 / 共6页
基于fpga的mfsk调制电路设计与仿真_第2页
第2页 / 共6页
基于fpga的mfsk调制电路设计与仿真_第3页
第3页 / 共6页
基于fpga的mfsk调制电路设计与仿真_第4页
第4页 / 共6页
基于fpga的mfsk调制电路设计与仿真_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《基于fpga的mfsk调制电路设计与仿真》由会员分享,可在线阅读,更多相关《基于fpga的mfsk调制电路设计与仿真(6页珍藏版)》请在金锄头文库上搜索。

1、基于基于 FPGAFPGA 的的 MFSKMFSK 调制电路设计与仿真调制电路设计与仿真日期:2007-9-10来源:微计算机信息 作者:字体:大 中 小 摘要摘要:数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与 FPGA 的结合是现代通信系统发展的一个必然趋势。文中介绍了 MFSK 调制解调的原理,并基于 FPGA 实现了 MFSK 调制电路,仿真结果表明了该设计的正确性。 关键词关键词:MFSK;FPGA;调制;解调 数字信号传输系统分为基带传输系统和频带传输系统频带传输系统也叫数字调制系统。数字调制信号又称为键控信号,数字调制过程中处理的是数字信号,而载波有振幅、频率和相

2、位 3 个变量,且二进制的信号只有高低电平两个逻辑量 1 和 0,所以调制的过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有 3 种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK)根据所处理的基带信号的进制不同分为二进制和多进制调制(M 进制)多进制数字调制与二进制相比,其频谱利用率更高。本文研究了基于 FPGA 的 MFSK(多频键控)调制电路的实现方法,并给出了 MAX+PLUSII 环境下的仿真结果。1 MFSK 简介简介MFSK 系统是 2FSK(二频键控)系统的推广,该系统有 M 个不同的载波频率可供选择,每一个载波频率对应一个 M 进

3、制码元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。MFSK 信号可表示为:为载波角频率,通常采用相位不连续的振荡频率,这样便于利用合成器来提供稳定的信号频率。图 1 为 MFSK 系统的原理框图。在发送端,输入的二进制码元经过逻辑电路和串/并变换电路转换为 M 进制码元,每 k 位二进制码分为一组,用来选择不同的发送频率。在接收端,当某一载波频率到来时,只有相应频率的带通滤波器能收到信号,其它带通滤波器输出的都是噪声。抽样判决器的任务就是在某一时刻比较所有包络检波器的输出电压,通过选择最大值来进行判决。将最大值输出就得到一个 M 进制码元,然后,再

4、经过逻辑电路转换成 k 位二进制并行码,再经过并/串变换电路转换成串行二进制码,从而完成解调过程。图 1 MFSK 系统原理框图2 MFSK 调制电路的调制电路的 FPGA 实现实现2.1 基于基于 FPGA 的的 MFSK 调制电路方框图调制电路方框图调制电路方框图如图 2 所示。基带信号通过串/并转换得到 2 位并行信号;四选一开关根据两位并行信号选择相应的载波输出(例中 M 取 4)。图 2 MFSK 调制电路方框图2.2 MFSK 调制电路调制电路 VHDL 程序程序调制电路 VHDL 关键代码如下:entity MFSK isport(clk :in std_logic; -系统时钟

5、start :in std_logic; -开始调制信号x :in std_logic; -基带信号y :out std_logic); -调制信号end MFSK;architecture behav of MFSK issignal q :integer range 0 to 15; -计数器signal f :std_logic_vector(3 downto 0); -分频器signal xx:std_logic_vector(1 downto 0); -寄存输入信号 x 的 2 位寄存器signal yy:std_logic_vector(1 downto 0); -寄存 xx 信号

6、的寄存器beginprocess(clk) -此进程过对 clk 进行分频,得到 4 种载波信号 f3、f2、 f1、f0。beginif clkevent and clk=1 then if start=0 then f=“0000“;elsif f=“1111“ then f=“0000“;else f=f+1;end if;end if;end process;process(clk) -对输入的基带信号 x 进行串/并转换,得到 2 位并行信号的 yy beginif clkevent and clk=1 then if start=0 then q=0;elsif q=0 then

7、q=1;xx(1)=x;yy=xx;elsif q=8 then q=9;xx(0)=x;else q=q+1;end if;end if;end process;process(clk,yy) -此进程完成对输入基带信号 x 的 MFSK 调制beginif clkevent and clk=1 then if start=0 then y=0; - if 语句完成 2 位码并行码到 4 种载波的选通elsif yy=“00“ then y=not f(3);elsif yy=“01“ then y=not f(2);elsif yy=“10“ then y=not f(1);else y=

8、not f(0);end if;end if;end process;end behav;2.3 仿真结果仿真结果MAX+PLUSII 环境下的仿真结果如图 3 所示。图 3 MFSK 调制程序仿真结果注:中间信号 yy 与输出调制信号 y 的对应关系:“00”=f3;“01”=f2;“10”=f1;“11”=f0。3 结束语结束语多进制数字调制技术与 FPGA 的结合使得通信系统的性能得到了迅速的提高。本文基于 FPGA 实现了 MFSK 调制电路部分,限于篇幅,没有对解调部分的电路进行讨论。在实际应用中,完全可以把调制部分和解调部分电路都集成到一片 FPGA 芯片内,这样即提高了FPGA 内部结构的利用率,又可以降低系统的成本。参考文献:参考文献:1 张学平,王应生等.基于 FPGA 的 OQPSK 解调器的设计与实现J.微计算机信息,2006,第 4-2 期:155-1572 黄智伟.FPGA 系统设计与实践M.北京:电子工业出版社,20053 董在望.通信电路原理M.北京:高等教育出版社,2002标签标签: :MFSK FPGA 调制 解调

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号