HDL课程设计报告-电子琴设计报告

上传人:飞*** 文档编号:42906953 上传时间:2018-06-04 格式:DOC 页数:33 大小:263.50KB
返回 下载 相关 举报
HDL课程设计报告-电子琴设计报告_第1页
第1页 / 共33页
HDL课程设计报告-电子琴设计报告_第2页
第2页 / 共33页
HDL课程设计报告-电子琴设计报告_第3页
第3页 / 共33页
HDL课程设计报告-电子琴设计报告_第4页
第4页 / 共33页
HDL课程设计报告-电子琴设计报告_第5页
第5页 / 共33页
点击查看更多>>
资源描述

《HDL课程设计报告-电子琴设计报告》由会员分享,可在线阅读,更多相关《HDL课程设计报告-电子琴设计报告(33页珍藏版)》请在金锄头文库上搜索。

1、河河海海大大学学计计算算机机及及信信息息工工程程学学院院(常常州州)课课程程设设计计报报告告题题 目目 专专业业、学学号号 授授课课班班号号 学学生生姓姓名名 指指导导教教师师 完完成成时时间间 课程设计(报告)任务书课程设计(报告)任务书(理(理 工工 科科 类)类)、课程设计(报告)题目:电电子子琴琴 、课程设计(论文)工作内容一、课程设计目标一、课程设计目标 1、培养综合运用知识和独立开展实践创新的能力;2、提高了我们独立发现问题、分析问题、解决问题的能力;3、更加地了解和掌握 Verilog HDL 的基本知识和基本的编写程序,也更加深入 地了解这门课程。4、在理论学习的基础上,通过完

2、成一个涉及时序逻辑、组合逻辑、声光输出的,具有实 用性、趣味性的小系统设计。 5、的理论知识与实际应用结合起来,为今后能够独立进行某些数字应用系统的开发设 计工作打下一定的基础。二、研究方法及手段应用二、研究方法及手段应用1、将任务分成若干模块,查阅相关论文资料,分模块调试和完成任务;2、使用 Verilog HDL 语言编写程序;3、使用 Modesim6.0 和 Quartus II 软件进行仿真。4、在硬件上完成实验效果的调试和演示。三、课程设计预期效果三、课程设计预期效果1、熟练掌握 Verilog HDL 语言;2、实现多功能电子琴,用 FPGA 实验箱查看效果;3、由键盘 key1

3、-key7 输入中音的七个音符的发音 DO,RE,MI,FA,SO,LA,SI 来控制其对应的音响;4、将用户自己编制乐曲存入电子琴,电子琴可以完成自动演奏的功能。通过按 下第八个键 key8,则自动演奏曲子两只老虎 ;按下第九个键 key9,则演奏 梁祝 ;当第八和第九键都按下时,蜂鸣器自动播放曲子天空之城 。5、数码管实时显示音调值。学生姓名: 专业年级: 摘摘 要要随着 EDA 技术的进展,基于可编程的数字电子系统设计的完整方案越来越受到人们的重视。与利用微处理器(CPU 或 MCU)来实现乐曲演奏相比,以纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不借助于功能强大的 EDA 工具和硬件

4、描述语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现。本课程设计主要是在 Modesim6.0 利用 Verilog HDL 语言完成软件编写,在 Quartus 软件平台上编译下载到 FPGA 实验箱,采用 FPGA 器件驱动小扬声器构成一个乐曲演奏电路。该电子琴的设计大体由三个模块构成,分别为控制模块,乐曲存储及循环播放模块,顶层模块。本设计可以实现手动电子琴和自动播放音乐功能。【关键词关键词】:】: EDA 、Verilog HDL、 FPGA 、Quartus 、 电子琴ABSTRACTWith the progress of EDA technology, based o

5、n programmable digital electronic system design complete solutions more and more attention. And the use of a microprocessor (CPU or MCU) to achieve the music play compared to the pure hardware logic circuit to play complete songs much more complex, without the help of powerful EDA tools and hardware

6、 description language, the mere number of traditional logic technology, even the simplest circuit performance is difficult to achieve. This course is designed primarily to use Verilog HDL language in Modesim6.0 complete software development, software platform in the Quartus downloaded to the FPGA co

7、mpile test cases, the use of FPGA devices drive a small speaker to play music to form a circuit. The design of the keyboard in general consists of three modules, namely, control module, music storage and playback module cycle, the top module. The design of manual and automatic keyboard to play music

8、.【Key words】:EDA 、Verilog HDL、 FPGA 、Quartus 、Electronic keyboard目录目录第一章第一章系统设计系统设计. 第一节第一节 设计目标设计目标. 一、基本功能一、基本功能. 二、二、提高部分提高部分. 第二节第二节 设计语言设计语言. 一、一、Verilog HDL 语言介绍语言介绍 . 第三节第三节 设计原理设计原理. 一、乐曲演奏原理一、乐曲演奏原理. 第四节第四节 设计方案比较设计方案比较. 一、一、方案比较方案比较. 二、方案选择二、方案选择. 第五节第五节 总体设计方案总体设计方案. 第二章第二章 单元模块设计单元模块设计. 第一节第一节 电子琴输入控制模块电子琴输入控制模块. 一、基本原理一、基本原理. 二、原理框图及分频系数的产生二、原理框图及分频系数的产生. 第二节第二节 乐曲存储及循环播放模块乐曲存储及循环播放模块. 第三节第三节 顶层模块顶层模块. 第三章第三章 软件设计软件设计. 第四章第四章 实验结果与讨论实验结果与讨论. 第一节第一节调试步骤调试步骤.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号