通信原理课程设计报告数字基带信号

上传人:飞*** 文档编号:41832858 上传时间:2018-05-31 格式:DOC 页数:13 大小:443KB
返回 下载 相关 举报
通信原理课程设计报告数字基带信号_第1页
第1页 / 共13页
通信原理课程设计报告数字基带信号_第2页
第2页 / 共13页
通信原理课程设计报告数字基带信号_第3页
第3页 / 共13页
通信原理课程设计报告数字基带信号_第4页
第4页 / 共13页
通信原理课程设计报告数字基带信号_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《通信原理课程设计报告数字基带信号》由会员分享,可在线阅读,更多相关《通信原理课程设计报告数字基带信号(13页珍藏版)》请在金锄头文库上搜索。

1、通信原理课程设计报告一、设计任务使用数字信源模块实现电路设计。1、数字信源数字信源是整个实验系统的发终端,模块内部只使用+5V 电压。本单元产生 NRZ 信号,信号码速率约为 170.5KB。帧长为 24 位,其中首位无定义,第 2 位到第 8 位是帧同步码(7 位巴克码 1110010),另外 16 位为 2 路数据信 号,每路 8 位。此 NRZ 信号为集中插入帧同步码时分复用信号,实验电路中 数据码用红色发光二极管指示,帧同步码及无定义位用绿色发光二极管指示。 发光二极管亮状态表示 1 码,熄状态表示 0 码。八选一 mux81A:8 位数据选择器 4512三选一 mux31A:8 位数

2、据选择器 4512倒相器:非门 74HC04抽样 DFF1:D 触发器 74HC74二、工作原理(1)原理框图并行码发生器八选一八选一八选一三选一倒相器抽 样分 频 器晶振图 数字信源原理框图(2)工作原理原理上数字信息可以表示成一个数字序列,实际传输中需要选择不同的波 形来表示,本实验用 NRZ 波形讨论。 以 NRZ 信号为集中狂如帧同步码时分复用信号,信号码速率为 170.5KB,帧长 24 位,其中首位无定义,第 2 位到到底 8 位为 1110010, 另外 16 位任意。 在传输时把若干个码元组成一个个的码组,即一个个的字或句,通常称为 群或帧。群同步又称帧同步。帧同步的主要任务是

3、把字或句和码区分出来。在 时分多路传输系统中,信号是以帧的方式传送。每一个帧中包含多路。接收端 为了把各路信号区分开来,也需要帧同步系统。帧同步是为了保证收、发各对 应的话路在时间上保持一致,这样接收端就能正确接收发送端送来的每一个话 路信号,当然这必须是在位同步的前提下实现。FS 信号、NRZ-OUT 信号之间的相位关系, NRZ-OUT 的无定义位为 0,帧 同步码为 1110010,数据 1 为 01100111,数据 2 为 10001101。FS 信号 的低电平、高电平分别为 4 位和 8 位数字信号时间,其上升沿比 NRZ-OUT 码 第一位起始时间超前一个码元。FS 信号、NRZ

4、-OUT 信号之间的相位关系如图:三、设计实现 (1)原理图原理图与器件的连接(2)各部分器件描述三选一三选一电路 S4、S5 信号分别输入到 mux31A 的地址端 S0 和S1,mux81A 的 S0、S1、S2 输出的 3 路串行信号分别输入到 mux31A 的 数据端 D0、D1、D2,mux31A 的输出端即是一个码速率为 170.5KB 的 2 路时分复用信号,此信号为单极性不归零信号(NRZ)。 实现程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX31a ISPORT( S0 , S1, D0 , D1 , D2

5、: IN STD_LOGIC;Y : OUT STD_LOGIC);END MUX31a ; ARCHITECTURE ONE OF MUX31a IS SIGNAL M : STD_LOGIC_VECTOR (1 DOWNTO 0); BEGINM Y Y Y NULL;END CASE;END PROCESS; END ONE; 元件:八选一采用 8 路数据选择器,它内含了 8 路传输数据开关、地址译码器和三态驱 动器,其真值表如表 1-1 所示。Mux81A 的地址信号输入端 S0、S1、S2 并 连在一起并分别接 PU1、PU2、PU3 信号,它们的 8 个数据信号输入端的 D0D7

6、分别 D0、D1、D2 输出的 8 个并行信号连接。Mux81A 输出信号都是码速率为 170.5KB、以 8 位为周期的串行信号真值表 S2S1S0Y 000D0 001D1 010D2 011D3 100D4 101D5 110D6 111D7 0 高阻程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX81a ISPORT( S0 , S1, S2 ,D0 , D1 , D2 , D3 ,D4 , D5 , D6 , D7: IN STD_LOGIC;Y : OUT STD_LOGIC);END MUX81a ; ARCHIT

7、ECTURE ONE OF MUX81a IS SIGNAL M : STD_LOGIC_VECTOR (2 DOWNTO 0); BEGINM Y Y Y Y Y Y Y Y NULL;END CASE;END PROCESS;END ONE; 元件:分频器分频器进行 13 分频,输出信号频率为 341kHz。74161 是一个 4 位二进制 加计数器,预置在 3 状态。74193 完成2、4、8、16 运算,输出 PU6、PU1、PU2、PU3 等 4 个信号。PU6 为位同步信号,频率为 170.5kHz。PU1、PU2、PU3 为 3 个 选通信号,频率分别为 PU6 信号频率的 1/

8、2、1/4 和 1/8。74193 是一个 4 位二进制加/减计数器,当 CPD= PL =1、 时,可在 Q0、Q1、Q2 及 Q3 端 分别输出上述 4 个信号。40160 是一个二一十进制加计数器,预置在 7 状态,完成3 运算,在 Q0 和 Q1 端分别输出选通信号 PU4、PU5 这两个信号的频率相等、等于 PU3 信 号频率的 1/3。程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fpq is p

9、ort(CLK:IN std_logic;PU1,PU2,PU3,PU4,PU5,PU6:OUT std_logic); end fpq;architecture one of fpq issignal Q:std_logic_vector(5 downto 0);beginprocess(CLK)beginif CLKEVENT AND CLK=1 thenif(Q=“111111“)thenQ=“000000“;elseQ=Q+1;end if;end if;end process;PU1=Q(5);-170.5/32HzPU2=Q(4);-170.5/16HzPU3=Q(3);-170.

10、5/8HzPU4=Q(2);-170.5/4HzPU5=Q(1);-170.5/2HzPU6=Q(0);-170.5Hz end one; 仿真波形:输入的信息码为 11110010 01100111 10001101 得出的 NRZ 码型:数据分析:心得体会:通信原理这两个星期的课程设计让我学到了许多东西,刚开始的时候什么 都不懂,根本无从下手,后来慢慢研究了一下实验原理框图和对本实验相关的 知识进行了解才知道要从哪里入手,查阅相关的书籍和上网找些资料为课程设 计做好准备,接下来的工作就是按照老师给的原理框图放上元器件,然后进行 器件连接,其他的仿真软件没有学习过,找一些有关资料,发现要学会

11、也挺难 得,最后我就决定用这学期学过的 EDA 对设计进行仿真实现,因为对 EDA 比 较熟悉,做起来就相对容易了,不像其他软件那么麻烦。通过这个课程设计,让我学到了数字信源模块对 NRZ 波形的作用,通过外 接电源提供电压供电路使用,通过输入码元产生波形,从而可分析波形 特点,设计的实现工作原理在上面都已经介绍,下面来谈谈我的心得体会吧课程设计刚开始,我没有一点头绪,举步维艰,对于理论知识学习不够扎实 的我深感“书到用时方恨少”,于是我找到相关教材与实验手册,对知识系统而 全面进行了梳理,遇到难处先是苦思冥想再向同学请教,终于熟练掌握了基本 理论知识,而且领悟诸多平时学习难以理解掌握的较难知

12、识,学会了如何思考 的思维方式,找到了设计的灵感。 在课程设计过程中,我不断发现错误,不断改正,不断领悟,不断获取。最 终的检测调试环节,通过自己的努力觉得自己这次的课程设计又是一大收获。 尽管课程设计是在期末才开始,边做课程设计边复习期末考试也不是一件 容易的事啊,有时候想做这个有时候想做那个,所以到头来一片混乱中,所以 自己的计划很重要,最终还是均衡了两者,又复习中学习,在学习中设计,我 们的教材学习完毕,掌握许多知识,但是还有很多地方理解领悟不到位,由于 晶振电路相关内容未能掌握以致无法自行设计,只得参考书本上的电路,在 EWB 中试行操作,逐步摸索。 回顾起此次课程设计,至今我仍感慨颇

13、多,的确,从理论到实践,在整整两 星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时 不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的 知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论 知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出 结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。 设计中难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足 之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,时至今日,课 程设计基本告成,才切身领悟实践是最重要的。EWB 做晶振电路的时候也遇到 很多困难,引脚悬空即为低电平,现实中引脚悬空呈现大电阻特性即高电平, 看似很简单的东西还是要靠自己动手才能掌握。这次课程设计终于顺利完成了,在设计中遇到了一些编程问题,最后在自己 的努力和同学的帮助下,终于完成了。总的来说,课程设计加深了自己对通信 原理这门课的理解,发现自己还有很多的地方要复习,甚至还有知识点的理解 偏差。以后一定加倍努力! 通信原理课程设计报告设计题目:数字系带信号 专业: 电子信息工程 班级: L062 班 姓名:杨敏 学号:20060468 指导老师:劳有兰设计时间:2009 年 6 月 28 日星期 日

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号