通信网络基础MC

上传人:206****923 文档编号:41759643 上传时间:2018-05-30 格式:DOC 页数:1 大小:28KB
返回 下载 相关 举报
通信网络基础MC_第1页
第1页 / 共1页
亲,该文档总共1页,全部预览完了,如果喜欢就下载吧!
资源描述

《通信网络基础MC》由会员分享,可在线阅读,更多相关《通信网络基础MC(1页珍藏版)》请在金锄头文库上搜索。

1、1. OSI 模型:开放型系统互连模型,规定了一个网络协议的框架结构,从第二 层以上各层的数据并不是从一端的第 N 层直接送到另一端的第 N 层,数据 在垂直的层次中自上而下地逐层传递直至物理层,在物理层两端点进行通信。OSI 模型分层原因:分层模型标准化接口,使专业的开发成为可能,防止一 个区域的网络变化影响另一个区域。 2. 把信息分成容易传输的数据块为数据封装第一步。 3. 信令网:电信支撑网是对电信网的正常运营起到了支撑作用的一类网络,信 令网是其中之一。目前的公共信道信令为 No.7 信令网是由 IUT-T 确定的一个 公共信令网,由信令点和信令转节点组成。No.7 信令网是一个专用

2、的分组 交换网,按照 No.7 信令网本身协议来运营。 4. 交换:数据网是由相互连接的节点和传输链路构成的,从始点到终点的路由 上,各个节点需要对数据进行交换。报文交换是另一种交换方式,交换数据 的基本单位是报文。报文交换过程中不需要在数据源和目的地之间建立一条 专用的路径,对报文进行交换是对报文进行存储转发的过程。 5. 分布式自适应路由选择主要取决于固定一个路由选择表,定期更新路由选择。6. 分组交换是把电路交换和报文交换的优点结合起来的一种方式,报文可以是 任意长度,分组的长度是受限制的。分组交换的第一步是将报文划分成一定 长度的分组,每一个分组都附加有地址和差错校验信息。 7. 帧中

3、继采用由上层完成差错控制。 8. 路由环路是因为互联网络发生变化后出现低速的收敛而产生的。 9. 同步网特性:准同步网各节点的时钟信号是独立的,各节点之间没有时钟信 号的传输路线,也没有控制信号的传输路线,各节点依靠采用高精度的时钟, 彼此工作接近于同步状态。 10. 主从同步网特性:有些节点和拥有基准时钟的主节点之间无直达传输路由, 从节点到时钟处于跟踪基准时钟状态因此从节点的压力振荡器只要求较低的 精度,在主从同步的区域内形成了一个全同步网从而避免了周期性滑动。 11. 主从同步锁相环:紧耦合时当基准时钟信号不复存在时锁相环的输出信号与 原信号虽然仍存在依赖关系但已经产生频率偏移,松耦合锁

4、相环即使时钟分 配链路中断几天仍可使节点时钟维持较高的精度,松耦合锁相中依靠微处理 机根据统计平均规律建立虚拟基准源,虚拟基准源存储输入基准信息的功能。12. 在互同步网中交换节点无主节点和从节点之分,时钟传输线路呈网状结构。 采用双端控制的互同步网可以防止同步系统的系统频率随节点之间的时延的 变化而改变,使得系统的频率更稳定。 13. 接入网也成用户接入网位于电信网的末端是信息高速公路。是连接用户用户 驻地网和核心网的桥梁,主要完成复用,交叉连接。在电话网中接入网一般 指本地交换机或远端交换模块到户之间。 14. XDSL 是铜双绞线接入的典型,光纤接入包括 Z 接口的用户环境载波系统 V5

5、 接口的数字环路载波系统 FC,移动终端无线接入包括无线寻呼系统,蜂窝 式移动系统,卫星移动系统。 15. 局间信令是应用于交换局和交换局之间的信令,在局间中继上传送主要有占 用信令,被叫应答信令,被叫挂机信令等(选) 16. 管理单元为高阶递到层和复用段层提供适配功能由高阶 VC 和 AU 指针组成 AU 指针的作用是指明高阶 VC 在 STM 帧中位置,也就是说指明 VC 货包在 STM-N 车厢中的具体位置,通过指针的作用允许高阶 VC 在 STM 帧内浮动 (选) 17. 机械特性指 DTE 于 DCE 之间的接插件的类型,两者间是利用电缆和接插来 实现的接插件的种类和引线的分配都属于机械特性。电气特性规定两个 D 之 间接口电路上信号发生器与接收器的电气特性包括信号的发送电平传输码性 传输速率。过程特性规定两个 D 接口电路的通信过程过程特性是指信号时间 次序的应答关系和操作过程规定。18. 泛洪式路由控制是一种比较简单的路由控制技术他的优点是可靠性高使得这 种方式在军用网络内得到了应用。随即式路由选择方式中分组从源节点和中 间节点发送时以一定的选择某一链路。分布式自适应路由选择方式中节点 的路由表根据相邻节点的信息每一定的时间更新一次从而改善了网络性能。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号