可编程微波炉控制器的设计

上传人:kms****20 文档编号:40288593 上传时间:2018-05-25 格式:DOC 页数:10 大小:241KB
返回 下载 相关 举报
可编程微波炉控制器的设计_第1页
第1页 / 共10页
可编程微波炉控制器的设计_第2页
第2页 / 共10页
可编程微波炉控制器的设计_第3页
第3页 / 共10页
可编程微波炉控制器的设计_第4页
第4页 / 共10页
可编程微波炉控制器的设计_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《可编程微波炉控制器的设计》由会员分享,可在线阅读,更多相关《可编程微波炉控制器的设计(10页珍藏版)》请在金锄头文库上搜索。

1、可编程微波炉控制器的设计相关专题: 电子应用 时间:2011-10-08 09:35 来源: icbuy 亿芯网随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、 航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作 中,EDA 技术的含量正以惊人的速度上升;电子类的高新技术项目的开发也日 益依赖于 EDA 技术的应用。设计者的工作仅限于利用软件的方式来完成对系统 硬件功能的描述,在 EDA 工具的帮助下并应用相应的 FPGA/CPLD 器件,就可以 得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成 软件设计一样方便和高效,从而使产品的开发周期

2、大为缩短、性能价格比大幅 提高。不言而喻,EDA 技术将迅速成为电子设计领域中的极其重要的组成部分。1 1 系统硬件设计框图系统硬件设计框图 本系统设计采用 Altera MAX系列性价比较高的 EPM240T100C5 可编程逻 辑器件作为信号处理及系统控制核心,完成包括分频、计数、显示等一系列工 作,结合所需的外围模块,组成一个最小系统,完成按键控制、LED 显示、音 频提示。总体设计如图 1 所示。利用 CPLD/FPGA 可编程的特点,使电路大为简化,利用软件 Quartus II 和 硬件描述语言 VHDL 对数字系统进行编程、调试和仿真,使得设计、调试方便便 捷,充分地发挥了 CP

3、LD/FPGA 的优势及特点。 2 2 微波炉控制器的整体设计方案微波炉控制器的整体设计方案 根据该微波炉的功能设计要求,软件设计可由:分频器、状态控制器、数 据装载器大、计时器、显示器、微波加热信号锁存器 6 个模块组成。各模块之 间的关系如图 2 所示。2.12.1 分频器分频器 fredivnfredivn 的设计的设计 在接口电路中,时钟信号的作用至关重要,一般 CPLD 的外部时钟信号可达 到几十 MHz,但是由于一些接口电路的特性所致,这样高频率的时钟不适合电 路工作,所以应该引入时钟分频电路产生适合接口的工作频率。分频模块的设 计用于产生合适的扫描时钟、计数时钟和闪烁时钟,其外部

4、接口如图 3 所示。 时钟发生器的输入是全局时钟 clk,是从 50 MHz 的石英晶振得到的信号。输出 信号是扫描时钟 clk 1 kHz、clk 5 Hz 和 clk 1 Hz。 部分关键程序如下: -1 kHz 分频- process(clk) variable count0:integer range 0 to 24999; begin if clkevent and clk=1 then if count0=24999 then clk0qqqnull; end case; end if; end process; process(oe) begin if oe=1then-输出信号

5、 cook=q; else cook=“ZZZ“;-呈现高阻抗 end if; end process; end; 2.62.6 显示译码器显示译码器 LEDLED 的设计的设计 对于显示电路,硬件上使用了串行连接的设计,即每个数码管对应的引脚都 接在一起,通过控制公共端控制相应数码管的亮灭(共阴极数码管的公共端为高 电平时,LED 不亮;共阳极的公共端为低电平时,LED 不亮)。 因此,在显示模块里有 4 个进程实现设计数码管动态扫描计数进程、 数码管位动态扫描进程、数码管闪烁显示进程、译码信号进程。显示模块工作 首先是动态扫描计数,以 1 kHz 的扫描频率进行扫描计数。扫描所得到的计数

6、值传递到数码管位动态扫描进程中,控制数码管的位选信号,即显示完第一位 后,接着显示第二位,依此类推,一直显示到第 5 位,显示模块接着继续从第 一位显示,从而实现循环显示。因为 1 kHz 的扫描频率使 6 个数码管看上去是 同时显示的,实现了计数结果和状态信息的显示;译码信号进程则根据动态扫 描所得到的信号值,选择相应的显示数据,只要计数器数据输出或状态发生变 化,则数码管的显示也发生相应的变化。对于数码管闪烁显示进程,当 ficker_seg=1 时,则段信号与 1 Hz 频率相与后再送到输出端去以 1 s 的频率 闪烁、一亮一暗、全段灭、全段亮,否则正常输出段信号。显示模块的输入、 输出

7、端口如图 8 所示。3 3 系统调试系统调试 为了能够准确地对所编写的软件进行调试,采用 EMP240 系列开发板上进行 系统调试。图 9 是一个模拟操作系统界面。系统调试的主要步骤如下: (1)在上电复位时,系统操作界面显示:00000。微波加热信号为 0。正处 于待机状态。 (2)按下 TEST 测试键,5 个数码管所有段位点亮同时一亮一暗地交替闪烁 着。按下复位键,系统返回复位待机状态。 (3)右边有三个档位控制键,在待机状态时,只要按下其中一个键,就能对 时间进行设定。而三个档位之间可以相互转换。按下复位键,系统返回复位待 机状态。 (4)设定好时间后就可以按下开始键,启动相应微波加热

8、信号,同时进行倒 计时计数,如果要停止加热,则按下停止键,系统进入停止状态,微波加热信号输出为 0,想要再次加热,则按回开始键。按下复位键,系统返回复位待机 状态。 (5)当计时结束后,输出 done 显示提示,微波加热信号输出为 0。按下复 位键,系统返回复位待机状态。 本系统以 EMP240T100C5 为主要控制芯片,综合运用了 Quartus II 开发工 具,使用 VHDL 硬件描述语言,使得系统设计的硬件功能基本都可以通过软件编 程实现,程序经过实验仿真与调试,各项功能达到了预定的设计指标。这种设 计方案不仅使电子系统的设计以及产品性能的改进和扩展变得十分简单,同时 它还代替了原有

9、的许多单元电路或单片机的控制芯片和大量外围电路,使电子 电路设计更加灵活方便。为实现微波炉以及更多家用电器的信息化和智能化进 行了较深入的探索和实践。 参考文献参考文献 1周润景,图雅,张丽敏. 基于 QuartusII 的 FPGA/CPLD 数字系统设计实 例M. 北京:电子工业出版社,2007. 2延明,张亦华. 数字电路 EDA 技术入门M.北京:北京邮电大学出版社, 2006. 3韩团军. 基于 FPGA 微波炉控制器设计J.研究与开发, 2010,29(8): 64-67. 4刘昌华. 数字逻辑 EDA 设计与实践MAX+plusII 与 Quartus II 双剑合 璧M.北京:国防工业出版社,2006.

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号