位数字密码锁的设计

上传人:ji****72 文档编号:39676802 上传时间:2018-05-18 格式:DOC 页数:21 大小:495KB
返回 下载 相关 举报
位数字密码锁的设计_第1页
第1页 / 共21页
位数字密码锁的设计_第2页
第2页 / 共21页
位数字密码锁的设计_第3页
第3页 / 共21页
位数字密码锁的设计_第4页
第4页 / 共21页
位数字密码锁的设计_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《位数字密码锁的设计》由会员分享,可在线阅读,更多相关《位数字密码锁的设计(21页珍藏版)》请在金锄头文库上搜索。

1、EDAEDA 课程设计报告书课程设计报告书课题名称课题名称 四位数字密码锁的设计四位数字密码锁的设计姓姓 名名 学学 号号 院院 系系 专专 业业 指导教师指导教师 年年 月月 日日级学生级学生EDAEDA 课程设计课程设计 1设计任务及要求:设计任务及要求:(1)设计任务: 本课程设计要求设计的数字密码锁密码为 4 位,由密码锁输入电路、 码锁控制电路、密码锁处理电路、显示电路四大部分组成,将各电路组合 起来,构成了一个完整的电子密码锁。 (2)设计要求: 、密码输入:每按一个数字键,就输入一个数值,且将在显示器上的最 右上方显示出该数字,并将先前已经输入的数据依序左移一位。 、数码清除:按

2、下此键可以清除前面所有的输入值,清除成为“0000” 。、密码修改:按下此键时将目前的数字设定成新的密码。 、激活电锁:按下此键可将密码锁上锁,红色 LED 灯将闪烁一次。 、解除电锁:按下此键会检查输入的密码是否正确,若绿色 LED 亮则 表示密码正确,密码锁将解锁。指导教师签名: 年 月 日 二、指导教师评语:二、指导教师评语:指导教师签名: 年 月 日 2四位数字密码锁的设计四位数字密码锁的设计1 设计目的随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的喜爱,

3、电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。2 设计的主要内容和要求设计一个简单的数字电子密码锁,密码为 4 位。要求具备如下功能:(1)如果输入数字键,第一个数字会从数码管的最右端开始显示,此后每按下一个数字键,数码管上的数字必须往左移动一格,以便将新的数字显示出来。(2)本密码锁为四位密码锁,当输入的数字超过四个时,不会显示第四个以后的数字。(3)按下密码清零键,清除所有输入的数字,清除成为“0000”,即做归零动作。(4)按下解锁键,检查输入的密码是否正确,若解锁指示灯(绿色LED灯)三、成绩三、成绩验收盖章 年 月 日 3闪烁一次,

4、即表示密码正确(开锁)。(5)按下改密键,将当前输入的数字设置成新密码,且上锁指示灯(红色LED灯)闪烁一次,即密码锁已上锁。3 整体设计方案本系统采用模块化的设计,整个系统分为数字按键输入、时钟输入、功能按键输入、数字译码块、功能译码模块、核心处理模块、输出处理模块、显示译码电路八个模块。整体电路如图3.1所示。数 字 按 键 输 入 时 钟 模 块功 能 按 键 输 入数 字 译 码 模 块功 能 译 码 模 块核 心 处 理 模 块输 出 处 理 模 块显 示 译 码 电 路图3.1 数字密码锁总方框图4 硬件电路的设计4 位数字密码锁包括五个基本逻辑模块,分别为:数字按键输入模块(nu

5、minput) 、功能按键输入模块(funcinput) 、核心处理模块(core) 、输出处理模块(allout) 、七段译码器模块(dataout) 。4.1 数字按键输入-numinput说明:读取数字键 09。按键为矩阵形式,高电平表示按键未按下,低电平表示按键按下。经数字按键输入模块处理后输出 4 位二进制代码,4“0000”“1001”分别表示 09,用“1010”表示其他无效输入。如表 4.1所示。表 4.1 数字按键输入模块(numinput)的数据输入输出按键数字按键扫描输出Numinput 二进制输出对应十进制数字011011110000001011111010001120

6、11111100010231011011100113410111011010045101111010101561011111001106711010111011178110110111000891101110110019其他按键其他101010(1)numinput-数字按键输入模块程序:library ieee;use ieee.std_logic_1164.all;entity numinput isport(numin :IN std_logic_vector(7 downto 0);numstate,clk :IN std_logic;numout :OUT std_logic_vec

7、tor(3 downto 0);end numinput;architecture one of numinput issignal state :std_logic;signal mem :std_logic_vector(7 downto 0);beginprocess(clk)5beginif clkevent and clk=1 thenif state/=numstate thenif mem/=numin thencase numin iswhen “11011110“ = numout numout numout numout numout numout numout numou

8、t numout numout numout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout=“0000000“; -不显示end case;end process;end one;(2)Dataout-七段译码器模块仿真图:图 4.10 七段译码器模块仿真图由图可知:当七段译码器的输入信号(datain)为“0000”、“0001”、“0010”、“0011”、“0100”、“0101”、“0110”、“0111”、“1000”、“1001”,输出信号(dataou

9、t)依次为:“1111110”、“ 0110000”、“ 1101101”、“ 1111001”、“ 0110011”、“ 1011011”、“ 1011111”、“ 1110000”、 “1111111”、“ 1111011”,当 conin 输入信号为其他时,译码输出为“0000000”。表 4.3 七段译码器的输入及译码对照表17二进制译码输入二进制译码输出数码管显示数字00001111110000010110000100101101101200111111001301000110011401011011011501101011111601111110000710001111111810

10、0111110119其他输入0000000无显示(3)输出处理模块allout 符号文件:图 4.11 输出处理模块allout 符号文件5 软件设计四位密码锁的电路设计原理图如下:18图5.1 四位密码锁的电路设计原理图6 系统仿真该四位数字密码锁的设计是一个实时的系统,对于每一个按键的操作都是在时钟的上升沿完成的,是一个动态的逻辑关系;而系统输出为数码管显示的实时输出,不便于分析该系统电路的功能,且系统电路中存在自动刷新的功能模块,因而电路仿真时不能较好地观察输出结果,为了解决这一问题,故修改电路的原理图如下:19图6.1 便于观察输出结果的原理图图6.2 系统仿真图(一)由图可知,当功能

11、按键的输入(funcin)为“11100111”(即按下功能按键“清除键”)时,系统输出(dataa,datab,datac,datad)均为“0000”,表示密码锁的密码已清除,数码管显示输出为“1111110”,即此时4个数码管均显示数字“0”。20图6.3 系统仿真图(二)由图可知,功能按键的输入(funcin)先为“11100111”(即按下功能按键“清除键”),后为“11101110”(即按下功能按键“改密键”)后,系统输出(dataa,datab,datac,datad)为“0001”、“0010”、“0011”、“0100”,表示密码已修改为“1234”,且先ledg输出为低电平

12、,表示为在开锁状态下修改密码,后ledr输出为高电平,表示密码已接受,数字密码锁已上锁。7 设计总结通过两星期的紧张工作,最后完成了我的设计任务基于 VHDL 语言的四位密码锁设计。通过本次课程设计的学习,我深深的体会到 EDA 设计课的重要性和目的性所在。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。课程设计要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要

13、有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用 VHDL 的过程中让我真正领会到了其并行运行与其他软件(C 语言)顺序执行的差别及其在电路设计上的优越性。用 VHDL 硬件描述语言的形式来进行数字系统的设计方便灵活,利用 EDA 软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。21在此次课程设计中,非常感谢指导老师对我的指导及各位的同学给我的帮助,在这里请接受我诚挚的谢意!参考文献 1黄仁欣.EDA 技术实用教程M.北京:清华大学出版社,20062张庆双主编.实用电子电路 200 例M.北京:机械工业出版社, 20053江国强.EDA 技术与应用(第三版)M.北京:电子工业出版社,20044邹彦、庄严等编.EDA 技术与数字系统设计M.北京:电子工业出版社,20085华中科技大学电子技术课程组编.康华光主编.电子技术基础 M.第五版.北京:高等教育出版社,2006

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号