基于lpm_rom的四位乘法器

上传人:wt****50 文档编号:39595050 上传时间:2018-05-17 格式:DOC 页数:11 大小:1.15MB
返回 下载 相关 举报
基于lpm_rom的四位乘法器_第1页
第1页 / 共11页
基于lpm_rom的四位乘法器_第2页
第2页 / 共11页
基于lpm_rom的四位乘法器_第3页
第3页 / 共11页
基于lpm_rom的四位乘法器_第4页
第4页 / 共11页
基于lpm_rom的四位乘法器_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《基于lpm_rom的四位乘法器》由会员分享,可在线阅读,更多相关《基于lpm_rom的四位乘法器(11页珍藏版)》请在金锄头文库上搜索。

1、西北师范大学知行学院EDA 技术基于 LPM_ROM 的四位乘法器姓名:CMC班级:08 电本学号: 0 2课题:基于 LPM_ROM 的四位乘法器 1 1、设计要求:、设计要求:用 Quartus II 调用 LPM_ROM(Library of Parameterized Module:参数可设置模块库)模块,实现一个四位乘法器。设计两个输入信号分别做两个乘数及一个输出信号做积,而实现四位乘法功能。2 2、设计目的:、设计目的:(1)理解 LPM_ROM 模块的原理;(2)掌握 LPM_ROM 调用的使用方法。(3)掌握 mif 文件的建立。(4)掌握时序仿真。3 3、设计步骤:、设计步骤

2、:(1)建立 mif 文件;(2)根据设计要求,画出电路图,并对 LPM_ROM 模块进行设置;(3)对电路进行全速运行编译;(4)进行时序仿真;友情提示:设计文件下载请按住键盘 Ctrl 键点击此处(文件大小:691K)4 4、设计内容:、设计内容:I I. .Mif 文件:编写 mif 文件,实现乘数和积的设置;IIII. .电路图:调用 LPM_ROM 模块,对其进行设置。新建一个 Block Diagram 文件,在空白处双击,弹出元件1库 symbol,输入 lpm_rom,调出 lpm_rom 模块,如下图:图 1(如果图小,无法看清,按 ctrl,滚动鼠标滚动轮可放大)对 LPM

3、_ROM 模块进行设置,如下图:2图 2图 3图 4图 5添加输入、输出,如下图:3图 5图 6图 7图 8图 10IIIIII. .时序仿真:建立 vwf 文件,点击菜单栏的“view”-“Utility Windows”-“Node Finder”-“List” ,列出引脚后,用鼠标托到 vwf 文件中,如下图:图 9以上为设计文件,即:电路图、mif 文件、时序仿真图。IVIV. .Mif 文件:5 5、运行结果:、运行结果:6 6、注意事项:、注意事项:如果在电路图设计好后,全速运行,会有错误提示,进行以下设置即可:点击菜单栏中的“view”-“Utility Windows”-“Pr

4、oject Navgator” ,左侧出现设置框,删除里面的其他文件,只留下 plus.vhd(由于在此设计中将 lpm_rom 模块名称定义为了plus,所以生成的 VHD 文件也是 plus.vhd,如果你将 lpm_rom 模块定义为 plus4,则此处的文件也为 plus4.vhd)和 plus4.bdf 文件,如下图 01,然后再点击“Assignments”-“Setting” ,添加这两个文件,如下图 02:图 01图 027 7、设计小结:、设计小结:LPM_ROM 模块有着重要的作用,学会调用它非常重要。此次设计使我对 LPM_ROM 模块有了很深入的了解,对 LPM_ROM、mif 文件的使用更加熟悉。本次设计过程中,我经过了大量学习和试验,查阅了很多相关书籍,也在网上查找了很多资料,同时也向其他同学们请教,最终完成了此次设计。在此衷心感谢刘老师的悉心教导和同学们的热情帮助。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号