基于cpldfpga的2fsk解调系统

上传人:aa****6 文档编号:39165841 上传时间:2018-05-12 格式:DOCX 页数:10 大小:354.12KB
返回 下载 相关 举报
基于cpldfpga的2fsk解调系统_第1页
第1页 / 共10页
基于cpldfpga的2fsk解调系统_第2页
第2页 / 共10页
基于cpldfpga的2fsk解调系统_第3页
第3页 / 共10页
基于cpldfpga的2fsk解调系统_第4页
第4页 / 共10页
基于cpldfpga的2fsk解调系统_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《基于cpldfpga的2fsk解调系统》由会员分享,可在线阅读,更多相关《基于cpldfpga的2fsk解调系统(10页珍藏版)》请在金锄头文库上搜索。

1、基于 CPLD/FPGA 的 2fsk 解调系统授授 课课 学学 期期 2013 学年至学年至 2014 学年学年第第 2 学期学期学学院院 电子工程电子工程 专专 业业 通信工程通信工程 学学号号 1 姓姓名名 杨童杨童 任任 课课 教教师师 傅得立傅得立 成成绩绩 阅读教师签名阅读教师签名 日日 期期 1目录目录1 概述.21.1 设计实现的功能和意义 .21.2 设计的基本思想及常用解调方法 .21.2.1 同步解调法.21.2.2 包络检测法.31.2.3 过零检测法.42.系统设计.42.1 系统设计框图.42.2 各子单元的设计思路.52.2.1.时钟计数器 C.52.2.2.计数

2、器 Q .52.2.3. 判决器 D.62.2.4 核心代码.62.3 各子单元之间的接口关系 .73.综合.73.1 使用 fpga 芯片型号.73.2 芯片内各种资源的使用情况 .74 模拟仿真图及说明.85.总结.96.参考文献.92基于 CPLD/FPGA 的 2fsk 解调系统摘要:这篇论文将围绕 2fsk 解调而展开,首先,需对 2fsk 这一概念进行 理解。2fsk,即二进制频移键控,它使用不同频率的载波来传输数字信号,并 用数字基带信号的频率.二进制频移键控使用两个不同的频率的载波来代表数字 信号的两种电平,其接收端收到不同的载波信号在进行逆变换称为数字信号, 完成信息传输的过

3、程。1 概述概述1.1 设计实现的功能和意义设计实现的功能和意义(1)用 vhdl 语言实现 2fsk 系统的解调。 (2)实现 2fsk 系统的仿真波形图。 (3)掌握 2fsk 信号解调的常用方法。 (4)培养动手解决问题和逻辑思维能力。1.2 设计的基本思想及常用解调方法设计的基本思想及常用解调方法在 2fsk 解调中,常用以下三种方法:1.2.1 同步解调法同步解调法同步解调中,fsk 信号解调原理方框图如图所示,可见 fsk 信号的同步解 调分成上下两个之路,输入的 fsk 信号经过 f1 和 f2 了;两个带通滤波器后变 成了上,下两路 ask 信号,之后其解调原理与 ask 相似

4、,但判决需对上下两支 路比较来进行。假设上支路低通滤波器输出为 x1,下支路低通滤波器输出为 x2,z 则判决准则为x1-x20 判输入信号为 f1x1-x20,按判决准则判断输入为 f1。反之,则为 f2.因此可以判决出 fsk 信号。1.2.2 包络检测法包络检测法fsk 信号包络解调方框图如下图所示。可见,fsk 信号包络解调相当于两路 ask 信号包络解调。用两个窄带的分路滤波器分别滤出频率为 f1,f2 的高频时 钟,经包络检波后分别取出他们的包络。把两路输出同时送到抽样判决器进行 比较,从而判决输出基带数字信号。设频率 f1 代表数字信号 1,f2 代表 0,则抽样判决器的判决准则

5、为x1-x20 判输入信号为 f1X1-x20 判输入信号为 f2 式中,x1,x2 分别为抽样时刻两个包络检波器的输出值。这里的抽样判决器, 要比较 x1,x2 大小,或者说把差值 x1-x2 与零电平来比较。因此, 又是这种比 较判决器的判决门限为零电平。 当 fsk 信号为 f1,上支路相当于 ask 系统接受 1 码的情况,其输出 x1 为正弦 波加窄带高斯噪声的包络,他服从锐利分布,下支路输出的瞬时值服从莱斯分 布。由上分析可知,无论输出的 fsk 信号是 f1 还是 f2,两路输出总是为一路莱斯 分布,另一路为瑞丽分布,而判决准则仍为上式,因此可判决出 fsk 信号带通 f1 滤波

6、器带通 f2 滤波器低通滤 波器低通滤 波器比较判决 器41.2.3 过零检测法过零检测法过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信 号频率。输入的已调信号经限幅放大后成为矩形脉冲波,再经微分电路得到双 向尖脉冲,然后整流得到单向尖脉冲,每个尖脉冲代表信号的一个过零点,尖 脉冲重复的频率是信号频率的两倍。将尖脉冲去触发一单稳态电路,产生一定 宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率,即输入频率信号成 正比。所以经过低通滤波器的输出平均量的变化反映了输入信号的变化,这样 就完成了频率一幅度的变换,把码元“1” 与“0”在幅度上区分开来,恢复出数字 基带信号。 ,

7、2.系统设计系统设计2.1 系统设计框图系统设计框图2fsk 解调方框图如图 1 所示,2fsk 解调电路的 vhdl 建模符号如图 2 所示。 该模型的核心部分有分频器,寄存器,计数器和判决器组成。且,2fsk 解调器 的分频输出为较高的那个载波信号。由于 f1 和 f2 的周期不同,若舍 f1=2f2,且 基带信号电平 1 对应 f1;基带信号电平 0 对应 f2,在图 1 中计数器以 f1 为时 钟信号,上升沿计数,基带信号 1 码元对应的技术个数为 1/f1,基带信号 0 阿 元对应的计数个数为 1/f2,计数器根据两个不同的技术情况对应输出 0 和 1 两 种电平,判决器以 f1 为

8、时钟信号,对计数器输出信号进行抽样判决,并输出基 带信号,图中没有包含模拟电路部分,调制电路为数字信号形式。带通 f1 滤波器带通 f2 滤波器低通滤 波器低通滤 波器比较判决 器5图 1图 22.2 各子单元的设计思路各子单元的设计思路2.2.1.时钟计数器时钟计数器 C 由于要计算调制信号一个周期内的过零点次数,所以用时钟计数器来计算 调制信号的周期,如果时钟频率与 FSK 调制信号频率 f clk:f1:12,那么表示 每 12 个 CLK 时钟周期发送一个 FSK 调制信号,即当 q 从 0 递增到 12 时,一个 调制信号传输结束。 2.2.2.计数器计数器 Q 根据过零检测法原理,通过检测一个信号传输周期内的过零点次数来判断 基带信号。由于上升沿的个数就相当于 FSK 信号过零点的个数,那么计数器的 主要功能就是在调制信号的一个周期内,对信号 X 的上升沿进行计数。 62.2.3. 判决器判决器 D 判决器的作用主要是在调制信号的一个周期内,对计数器 m 的值进行判决。 判决门限值可以根据调制信号和基带信

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号