显示hello

上传人:第*** 文档编号:38796250 上传时间:2018-05-07 格式:DOC 页数:4 大小:34.50KB
返回 下载 相关 举报
显示hello_第1页
第1页 / 共4页
显示hello_第2页
第2页 / 共4页
显示hello_第3页
第3页 / 共4页
显示hello_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《显示hello》由会员分享,可在线阅读,更多相关《显示hello(4页珍藏版)》请在金锄头文库上搜索。

集美大学诚毅学院实验报告集美大学诚毅学院实验报告课程课程 日期年月日日期年月日班级学号姓名班级学号姓名 一一 实验名称:多路选择器实验名称:多路选择器 二二 实验目的:实验目的: 三三 实验内容:实验内容:实验实验 2-42-4:用七段数码管显示字符:用七段数码管显示字符“HELLOHELLO”显示显示 HELLOLIBRARY ieee; -七段译码器文件USE ieee.std_logic_1164.all;ENTITY hello ISPORT ( SW : IN STD_LOGIC_VECTOR(2 DOWNTO 0);HEX0: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END hello;ARCHITECTURE Behavior OF hello ISBEGINPROCESS(SW)beginCASE SW ISWHEN “000“ = HEX0 HEX0 HEX0 HEX0 HEX0 M M M M M M M M M M M M M MNULL;END CASE;END PROCESS; END Behavior;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 教学课件 > 初中课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号