电子技术综合实验试题模拟卷

上传人:第** 文档编号:38662520 上传时间:2018-05-05 格式:DOC 页数:17 大小:492KB
返回 下载 相关 举报
电子技术综合实验试题模拟卷_第1页
第1页 / 共17页
电子技术综合实验试题模拟卷_第2页
第2页 / 共17页
电子技术综合实验试题模拟卷_第3页
第3页 / 共17页
电子技术综合实验试题模拟卷_第4页
第4页 / 共17页
电子技术综合实验试题模拟卷_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《电子技术综合实验试题模拟卷》由会员分享,可在线阅读,更多相关《电子技术综合实验试题模拟卷(17页珍藏版)》请在金锄头文库上搜索。

1、学院 姓名 学号 任课老师 综合实验考试题综合实验考试题成绩构成:12345678 合计评阅签字题目:题目:设计一个以 1HZ 频率按步进码进行计数的十进制纽环计数器,其十进制步 进码转换表及计数器框图如下:要求要求: :一、完成电路设计及仿真 (70 分)分) 1、 项目文件夹及项目名建立(5 分) 2、 实体命名及端口描述(5 分) 3、 结构体功能描述(40 分) 4、 仿真文件编写(10 分) 5、 仿真文件运行与分析(10 分)二、在开发板上完成下载实现 (30 分)分)6、 管脚适配 (10 分) 7、 文件编程 (10 分) 8、 下载实现与测试 (10 分)开发板信息如下:开发

2、板信息如下:(1)器件型号为:xc3s200a-4ft256 (2)输入输出管脚配表如下:信号名CLKQ4Q3Q2Q1Q0 管脚号T8B8A8C8C9A9注:CLK 为系统时钟 48MHZ; Q4Q0 对应指示灯 LED8LED4;低电平点亮 学院 姓名 学号 任课老师 entity bujinma isPort ( clkin : in STD_LOGIC;q0 : out STD_LOGIC;q1 : out STD_LOGIC;q2 : out STD_LOGIC;q3 : out STD_LOGIC;q4 : out STD_LOGIC); end bujinma;architectu

3、re Behavioral of bujinma is signal cnt:STD_LOGIC_VECTOR(3 DOWNTO 0):=“0000“; signal clkcnt:integer range 1 to 24000000:=1; signal clk:std_logic:=0; beginprocess(clkin) begin if rising_edge(clkin) thenif clkcnt=24000000 then clkcnt q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 q0 l8

4、l8 l8 l8 l8 l8 l8 l8 l8 ggggggggg=1; end case; end process; end Behavioral;学院 姓名 学号 任课老师 综合实验考试题综合实验考试题成绩构成:12345678 合计评阅签字题目:题目:设计一个模为 10 秒的定时器,该定时器具有开始、停止及复位功能,计数 时间在数码管上显示。要求要求: : 一、完成电路设计及仿真。 (70 分)分)1、 项目文件夹及项目名建立(5 分)2、 实体命名及端口描述(5 分)3、 结构体功能描述(40 分)4、 仿真文件编写(10 分)5、 仿真文件运行与分析(10 分)二、在开发板上完成下载

5、实现。 (30 分)分)6、 管脚适配 (10 分)7、 文件编程 (10 分)8、 下载实现与测试 (10 分)开发板信息如下:开发板信息如下:(1) 器件型号为:xc3s200a-4ft256 (2) 外部 48MHz 有源晶振:T8 对应管脚 SYS-CLK 器件 (3) 按键低电平触发,即按下去为低电平,松手为高电平器件器件KEY-1KEY-1KEY-2KEY-2KEY-3KEY-3KEY-4KEY-4KEY-5KEY-5KEY-6KEY-6KEY-7KEY-7KEY-8KEY-8 对应管脚对应管脚G6G6E4E4F4F4G5G5H7H7J7J7K6K6L5L5(4) 8 位共阳数码管

6、:D7 F8 D8 E7 对应管脚 NT-nCS DIG-1 DIG-2 DIG-3 位选 C11 A11 B12 A12 C12 C13 A13 B14 对应管脚 SEG- 8(dp)SEG- 7(g) SEG- 6(f) SEG- 5(e)SEG- 4(d)SEG- 3(c)SEG- 2(b) SEG- 1(a) 段选 学院 姓名 学号 任课老师 Port ( clk : in STD_LOGIC;reg7 : out STD_LOGIC_VECTOR (6 downto 0);rst : in STD_LOGIC;contrl : in STD_LOGIC;ncs : out STD_L

7、OGIC;disp : out STD_LOGIC_VECTOR (2 downto 0); end jishu;architecture Behavioral of jishu is signal start:std_logic:=0; signal clkcnt:integer range 1 to 24000000:=1; signal clk1s:std_logic:=0; signal m:integer range 0 to 9:=0; begin disp=“000“; ncs=0; process(contrl) beginif rising_edge(contrl) then

8、start=not start; end if; end process;process(clk)begin if start=0 thenif rising_edge(clk) thenif clkcnt=24000000 thenclkcnt=1; clk1s=not clk1s; elseclkcnt=clkcnt+1; end if; end if; end if; end process; process(clk1s)begin if rst=1 thenif rising_edge(clk1s) thenif m=9 thenm=0; elsem=m+1;学院 姓名 学号 任课老师

9、 end if; end if; elsem=0;end if; end process;reg7=“0000001“ when m=0 else“1001111“ when m=1 else“0010010“ when m=2 else“0000110“ when m=3 else“1001100“ when m=4 else“0100100“ when m=5 else“0100000“ when m=6 else“0001111“ when m=7 else“0000000“ when m=8 else“0000100“ when m=9 else“1111111“;end Behavioral;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 研究报告 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号