毕业设计(论文)基于fpga的出租车计费器设计

上传人:aa****6 文档编号:38327742 上传时间:2018-04-30 格式:DOC 页数:57 大小:3.89MB
返回 下载 相关 举报
毕业设计(论文)基于fpga的出租车计费器设计_第1页
第1页 / 共57页
毕业设计(论文)基于fpga的出租车计费器设计_第2页
第2页 / 共57页
毕业设计(论文)基于fpga的出租车计费器设计_第3页
第3页 / 共57页
毕业设计(论文)基于fpga的出租车计费器设计_第4页
第4页 / 共57页
毕业设计(论文)基于fpga的出租车计费器设计_第5页
第5页 / 共57页
点击查看更多>>
资源描述

《毕业设计(论文)基于fpga的出租车计费器设计》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于fpga的出租车计费器设计(57页珍藏版)》请在金锄头文库上搜索。

1、1论文题目:基于 FPGA 的出租车计费器设计专 业:微电子学本 科 生: (签名)_指导教师:岳改丽 (签名)_摘摘 要要论文阐述了 EDA 的概念和发展、VHDL 语言的优点和语法结构,并分析了出租车计费器的各模块的功能要求、基本原理以及实现方法。本系统的设计采用 VHDL 硬件描述语言编程,基于 Quartus II 平台进行编译和仿真。论文介绍了一种采用 FPGA 芯片设计出租车计费器的方法,阐述了该计费器的主要组成单元车轮大小选择模块、计程模块、计时模块及计费模块、数据分配模块、分频模块、译码模块的设计方法,同时给出了详细的仿真波形,实现了出租车按行驶里程自主收费,还能模拟汽车启动,

2、停止,暂停等状态,同时提高了计费系统的可靠性、通用性。本设计在 FPGA 试验箱上运行良好,实现了计费器的各项功能。关键字关键字 FPGA,VHDL,Quartus II,出租车计费器2Subject: The taxi meter based on FPGA designSpecialty: MicroeletronicsName: Yuan Wang (Signature) _Instructor: Gaili Yue (Signature) _ABSTRACTThis article elaborates the concept and development of EDA ,expla

3、ins the advantages and grammar structure of VHDL ,meanwhile, analysed the function request, the basic principle as well as the method of accomplishment of each parts. This systems design programmes in the VHDL, compiled and emulated basing on Quartus II platform of Altera consists of four modules,th

4、at is speed,mileage,timing and billing module. This paper introduce one method that can use a chip of FPGA to design taxi meter,which consists of four modules,that is speed,mileage,timing and billing module,and then, describe the design of each module and give out the simulation. This taxi meter can

5、 be self-charging according to mileage,which also can simulate the state of speed,such as start,stop,and pause,at the same time, its reliability and commonality also can be improved. This design FPGA test box on running well, realize the different functions of the message accounting device.3KEY WORD

6、S FPGA ,VHDL ,Quartus II ,The taxi meter目 录第 1 章 绪 论.11.1 课题研究背景及目的 .1 1.2 课题的研究现状 .2 1.3 课题研究方法 .2 1.4 论文的构成及研究内容 .3第 2 章 EDA 及设计相关工具介绍.42.1 EDA 技术的发展及优势 .4 2.1.1 EDA 技术的发展 .4 2.1.2 EDA 技术的设计优势 .4 2.2 FPGA 介绍 .5 2.2.1 FPGA 发展状况 .5 2.2.2、FPGA 结构与特点 .5 2.3 VHDL 介绍 .6 2.3.1 VHDL 简介 .6 2.3.2 VHDL 设计优点

7、.7 2.4 QuartusII 概述 .7 2.4.1 Quartus介绍 .7 2.4.2 Quartus II 设计流程及注意事项 .8 2.4.3 Quartus II 的设计优势应用举例 .9 2.5 实验开发系统及芯片简述 .9第 3 章 出租车计费系统的设计.113.1 总体设计 .11 3.1.1 出租车计费器的设计要求 .11 3.1.2 总体框架设计 .12 3.1.3 程序流程图 .13 3.2 出租车计费器主要模块设计 .14 3.2.1 出租车总体模块设计 .14 3.2.2 车轮大小选择模块设计 .14 3.2.3 计程模块设计 .15 3.2.4 计时模块设计 .

8、1843.2.5 计费模块设计 .19 3.2.6 数据分配模块设计 .20 3.2.7 译码模块设计 .21 3.2.8 分频模块设计 .21 3.3 主要模块的波形仿真 .23 3.3.1 出租车总体模块仿真波形 .23 3.3.2 车轮大小选择模块仿真波形 .23 3.3.3 计程模块仿真波形 .24 3.3.4 计时模块仿真波形 .24 3.3.5 计费模块仿真波形 .25 3.3.6 数据分配模块仿真波形 .25 3.3.7 译码模块仿真波形 .26 3.3.8 分频模块仿真波形 .26 3.4 管脚分配及说明 .27 3.5 硬件调试结果及分析 .28第 4 章 总 结.31致 谢

9、.34参考文献.35附录:.375第 1 章 绪 论61.1 课题研究背景及目的伴随中国经济的腾飞,城市化的进程也随之加快。虽然人们出行的选择趋于多样化,但是出租车作为一种重要的交通工具,也为很多人作为出行的选择。大城市里出租车已经相当普及,但是在中小城市出租车依然处于快速发展的阶段。出租车的计费方式也在发生变化,由只能显示里程的方式变为现在的自主计费和打印发票及语音提示的智能化方式;根据出租车行业的发展需求,国内许多生产厂商也制造出不同类型的计价器,传统的出租车计费器经过十几年的使用,在稳定性,成本等方面都具有一定的优势。我们可以采用单片机对计费器进行设计,很多的功能也可以用单片机去实现。但是由于不同系列的单片机有着不同的指令集,程序也不能够通用,这样给设计就带来很大麻烦,设计研发难,开发周期长。倘若系统设计不好,会造成系统不稳定,灵活性差,难以实现复杂系统的设计。在经济迅速发展的今天,许多因素都影响着出租车行业的成本,传统的基于单片机的出租车计费系统已经很难满足发展需要,新的计费系统就应运而生,FPGA 等数字逻辑器件就担起重任,去解决出现的问题。对于不同的逻辑,FPGA

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号