《例键控加减计数器要求1、可实现加计数0000至》由会员分享,可在线阅读,更多相关《例键控加减计数器要求1、可实现加计数0000至(21页珍藏版)》请在金锄头文库上搜索。
1、设计实例例:键控加/减计数器 要求: 1、可实现加计数“0000”至“1001” ; 步进为1; 2、可实现减计数“1001”至“0000”; 步进为1;设计实例library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity add_del10 isport(clk,clr:in std_logic;updn:in std_logic;dataout:out std_logic_vector(3 downto 0);end add_del10
2、;architecture rtl of add_del10 is设计实例SIGNAL count_10: STD_LOGIC_VECTOR(3 downto 0);BEGINPROCESS(clr,clk)BEGINIF(clr=0)THENcount_10data0data0data0data0data0data0data0data0data0data0data0data0data0data0data0data0data0data0 coefficent coefficent coefficent coefficent next_statenext_statenext_statenext_statenext_stateyyyyyyyyyyyyyyyyy=X;END CASE;END PROCESS;END rtl;