第二章(2) 线性连续系统的数学模型

上传人:飞*** 文档编号:3765925 上传时间:2017-08-05 格式:PPT 页数:30 大小:983.50KB
返回 下载 相关 举报
第二章(2) 线性连续系统的数学模型_第1页
第1页 / 共30页
第二章(2) 线性连续系统的数学模型_第2页
第2页 / 共30页
第二章(2) 线性连续系统的数学模型_第3页
第3页 / 共30页
第二章(2) 线性连续系统的数学模型_第4页
第4页 / 共30页
第二章(2) 线性连续系统的数学模型_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《第二章(2) 线性连续系统的数学模型》由会员分享,可在线阅读,更多相关《第二章(2) 线性连续系统的数学模型(30页珍藏版)》请在金锄头文库上搜索。

1、1.5 系统传递函数与典型环节传递函数,几个基本概念:单回路系统;多回路系统; 开环传递函数;闭环传递函数。,单回路系统;,只有一个主反馈而没有其它局部反馈的系统,有局部反馈回路的系统,多回路系统;,主反馈通路断开,系统工作在开环状态。前向通道与反馈通道传递函数的乘积。,开环传递函数,开环传递函数:前向通道传函反馈通道传函,闭环传递函数,反馈回路接通后, 输出量与输入量的比值。,一、系统传递函数,典型结构,1.输入作用下的闭环传递函数,N=0,令干扰为零,只有输入作用,2.干扰作用下的闭环传递函数,R=0,只有干扰作用,令输入为零,R=0,3.输入作用下的误差传递函数,N=0,令干扰作用为零,

2、只有输入作用,引出误差信号,4.干扰作用下的误差传递函数,R=0,只有干扰作用,令输入作用为零,引出误差信号,5.输入和干扰同时作用下的闭环传递函数与输出,N=0,干扰作用为零,只有输入作用,C1,1)系统总输出的求取,只有干扰作用,C2,输入作用为零,R=0,干扰作用为零,只有输入作用,2)系统误差总输出的求取,只有干扰作用,输入作用为零,1.输入作用下的闭环传递函数,2.干扰作用下的闭环传递函数,3.输入作用下的误差传递函数,4.干扰作用下的误差传递函数,分析:分母只取决于系统的结构,而与输入、输出无关。分子=前项通道传函,所以与输入点和输出点的位置有关。,讨论几个重要的概念1.分母多项式

3、1+G1(s)G2(s)H(s) =1+开环传递函数,因为开环传递函数中包含了所有系统的元件,系统的特性是由这些元件决定的,所以系统确定以后,系统的特性也就不变了,称之为系统固有特性。很明显系统的固有特性与输入、输出的形式、位置均无关;即同一个外作用加在系统不同的位置上,系统的响应不同,但不会改变系统的固有特性。,例:角位置跟踪系统(随动系统),开环传递函数为,可以看出系统的分母1+GH(s)仅由系统的结构和元件参数决定。,2.干扰作用下的系统输出与误差输出,系统输出,误差输出,当G1G2H1 且 G1 1 时,,干扰的影响将被抑制!,二、典型环节的概念与传递函数,1.典型环节的概念,系统传递

4、函数,分子、分母展开成多项式的乘积形式,说明:环节是根据微分方程划分的,不是具体的物理装置或元件。一个环节往往由几个元件之间的运动特性共同组成,也可能一个元件由几个环节来表示。同一元件在不同系统中作用不同,输入输出的物理量不同,可起到不同环节的作用。 常遇到的典型环节有七种: 比例环节 惯性环节 积分环节 微分环节 一阶微分环节 振荡环节 二阶微分环节,2.典型环节的传递函数,1)比例环节,微分方程为,传递函数,惯性小、延迟小、衰减小、线性好的元件都可看成比例环节。,例如:齿轮传动、晶体管放大器,2)惯性环节,微分方程,传递函数,按指数规律上升到稳态值。它具有延迟特性,具有较大惯性的元件有这样

5、的特点,如有储能性能的元件都具有这样的特性。,K环节的放大系数T 环节的时间常数,弹 性 弹 簧,3)积分环节,微分方程,传递函数,输出是输入的积分。输入突然除去积分停止输出维持不变。具有记忆功能。,K 环节的放大系数,电容充电,当输入为常数 I 时,电压为输出量须经过时间 C 才能达到输入量在t=0时的值I。改善系统的稳态性能,4)微分环节,微分方程,传递函数,输出是输入的微分,实际系统中由于惯性的存在,所以这种理想的微分常与一个惯性环节在一起。,K比例系数,RC微分网络,5)一阶微分环节,微分方程,传递函数,为时间常数,一阶微分运算放大器,6)振荡环节,微分方程,传递函数,不同形式储能元件能量转换振荡,K 环节的放大系数T 环节的时间常数环节的阻尼比,7)二阶微分环节,微分方程,传递函数,1 两个串联的一阶微分环节, 环节的时间常数环节的阻尼比,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 初中教育

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号