基于FPGA的电梯控制器

上传人:n**** 文档编号:37128837 上传时间:2018-04-07 格式:DOC 页数:65 大小:497KB
返回 下载 相关 举报
基于FPGA的电梯控制器_第1页
第1页 / 共65页
基于FPGA的电梯控制器_第2页
第2页 / 共65页
基于FPGA的电梯控制器_第3页
第3页 / 共65页
基于FPGA的电梯控制器_第4页
第4页 / 共65页
基于FPGA的电梯控制器_第5页
第5页 / 共65页
点击查看更多>>
资源描述

《基于FPGA的电梯控制器》由会员分享,可在线阅读,更多相关《基于FPGA的电梯控制器(65页珍藏版)》请在金锄头文库上搜索。

1、基于基于 FPGAFPGA 的电梯控制器的电梯控制器摘摘 要要电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。本设计就是基于电子设计自动化(Electronic Design Automation)技术中的甚高速集成电路硬件描述语言(Very High Speed Integrated Circuit Hardware Description Language)语言所开发的六层电梯控制程序。VHDL 具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。本设计介绍了基于 VHDL 语

2、言设计的电梯控制器,并进行了电路综合和仿真。该控制器遵循方向优先的原则,提供 6 个楼层多用户的载客服务并指示电梯的运行情况。通过程序调试及运行仿真,结果表明,本设计可以完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、看门狗报警、超载报警、故障报警等。关键词:VHDL、控制器、EDA、电梯AbstractThe lift , as the modernized result, are entered in our life in last century. This thesis designs the three - floor lift control on the basis

3、 of the electron basic on the electronic design automation(EDA) technologys the very high-speed integrated circuit hardware describe language(VHDL). VHDL has with the concrete hardware electric circuit has nothing to do with and designs the characteristic which the platform has nothing to do with, a

4、nd has the good electric circuit behavior description and the system description ability, and in language legible and has orderliness the structurization design aspect, has displayed the formidable vitality and the application potential. Introduced based on the VHDL language design elevator controll

5、er, and has carried on the electric circuit synthesis and the simulation. This controller follows the direction first principle, provides 6 floors multi user to carry passengers to serve and to instruct the elevator the movement situation. Through testing the connection of the EDA case, realize the

6、following main functions: The lift s floor shows, the lift operation direction shows; closes prolonging the dog reports to the police; overloads reporting to the police fault alarm ,etc.Key word: VHDL, controller, EDA, elevator1 1 引言引言1.11.1 选题背景选题背景随着科学技术的发展、近年来,我国的电梯生产技术得到了迅速发展一些电梯厂也在不断改进设计、修改工艺。更

7、新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、FPGA/CPLD 控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而 FPGA/CPLD 控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和

8、调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。目前国内七八十年代安装的许多电梯电气部分用继电器接触器控制系统,线路复杂,接线多,故障率高,维修保养难,许多已处于闲置状态,其拽引系统多采用交流双速电机系统换速,效率低,调速性能指标较差,严重影响电梯运行质量。由于这些电梯交流调压调速系统,交流双速电机拖动系统性能及乘坐舒适感较差,交流调压调速系统属能耗型调速的机械部分无大问题,为节约资金,大部分老式电梯用户希望对电梯的电气控制系统进行改造,提高电梯的运行性能。因此对电梯控制技术进行研究,寻找适合我国老式电梯的改造方

9、法具有十分重要的意义。电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。FPGA/CPLD 作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。1.21.2 电梯设计的要求电梯设计的要求1)每层电梯入口处设有上下请求开关各 1 个,电梯内设有乘客到达层次的数字开关。电梯当前所在的楼层位置用两位数码管显示,用两只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态。2)显示电梯当前所处位置和电梯上行、下行及开门、关门状态。3)电梯到达有停靠站请求的

10、楼层后,电梯门就会自动打开门指示灯亮,开门 6 秒后,电梯门自动关闭(开门指示等灭)电梯继续运行。4)能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,即电梯上升时只能响应高层的呼唤的请求,下降时只响应低层的呼唤请求,每个请求信号保留到执行后撤出。5)当没有请求信号时,电梯停在一楼。6)电梯有超载提示。当电梯内部超载过电梯规定的人数或重量后,电梯会自动报警,提示电梯已超载。2 设计的基础现代电子设计技术的核心是 EDA 技术。基于 EDA 技术开发的实现六层电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。硬件描述语言是EDA 技术的重要组成部分,VHDL 是作为电子

11、设计主流硬件的描述语言。使用VHDL 语言进行程序的设计,在MAX+plusII 软件上对程序进行编译、仿真。在MAX+plusII 平台上的开发具有编程软件具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。2.12.1 EDAEDA 技术介绍技术介绍 EDA 技术是 20 世纪 90 年代初从计算机辅助设计(Computer Aided Design)、计算机辅助制造(Computer Aided Manufacture)、计算机辅助测试(Computer Aided Testing)和计算机辅助工程(Computer Aided Engineering)的概念发

12、展而来的。随着超大规模集成电路(Very Large Scale Integration)规模和技术复杂度的急剧增长,一块芯片内集成门已可达几十万甚至几百万门,并且还在迅速增长,电子系统的人工设计已十分困难,必需依靠电子设计自动化技术。在利用 EDA 进行集成电路设计时,应采用高效率的 TOP-DOWN 设计方法,即根据系统的行为和功能要求,自上而下地依次完成相应的描述、综合、优化、仿真与验证,直到生成器件。在电路描述时主要采用硬件描述语言(HDL)。硬件描述语言是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用 HDL 程序来描述所希望的电路系统

13、,规定其结构性和电路的行为方式;然后利用 EDA 工具将此程序变成能控制场效应可编程门阵列(Field Programmable Gate Array)/复杂可编程逻辑器件(Complex Programmable Logic Device)内部结构并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。现代 EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错、并用 VHDL、 ABEL 等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证然后再用逻辑综合优化工具生成具体的门级

14、逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。简单来说就是依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。2.22.2 VHDLVHDL 语言概述语言概述2.2.12.2.1 VHDLVHDL 简介简介硬件描述语言是 EDA 技术的重要组成部分,VHDL 是电子设计的主流硬件描述语言。VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardw

15、are Description Language。 ,诞生于 1982 年。1987 年底,VHDL 被IEEE 和国美国国防部却认为标准硬件描述语言。自 IEEE 公布了 VHDL 的标准版本(IEEE 1076)之后,数个 EDA 公司相继推出了自己的 VHDL 设计环境,或宣布自己的设计工具可以和 VHDL 接口。此后 VHDL 在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件语言。1993 年,IEEE 对VHDL 进行了修订,从更高的抽象层次和系统描述能力上扩展 VHDL 的内容,公布了型板本的 VHDL,即 IEEE 1076-1993 版本。现在,VHDL 作为 IE

16、EE的工业标准化硬件描述语言,又得到众多 EDA 概述的支持,在电子工程领域,已成为事实上的通用硬件描述语言。2.2.22.2.2 VHDLVHDL 的优点的优点VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本点。应用 VHDL 进行工程设计的优点是多方面的。1)与其他的硬件描述语言相比,VHDL 具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。2)VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。3)VHDL 语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号