基于fpga的图像预处理系统

上传人:第*** 文档编号:36345799 上传时间:2018-03-28 格式:DOC 页数:6 大小:78.50KB
返回 下载 相关 举报
基于fpga的图像预处理系统_第1页
第1页 / 共6页
基于fpga的图像预处理系统_第2页
第2页 / 共6页
基于fpga的图像预处理系统_第3页
第3页 / 共6页
基于fpga的图像预处理系统_第4页
第4页 / 共6页
基于fpga的图像预处理系统_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《基于fpga的图像预处理系统》由会员分享,可在线阅读,更多相关《基于fpga的图像预处理系统(6页珍藏版)》请在金锄头文库上搜索。

1、基于基于 FPGAFPGA 的图像预处理系统的图像预处理系统作者:作者:北京机械工业学院 韩娟娟 邓文怡 娄小平 日期:日期:2007-1-1 由于获取图像的工具或手段的影响,使获取图像无法完全体现原始图像的全部信息。因此,对图像进行预处理就显得非常重要。预处理的目的是改善图像数据,抑制不需要的变形或者增强某些对于后续处理来说比较重要的图像特征。通常根据预处理目的的不同,把预处理技术归为图像增强技术和图像分割技术两类。图像对比度处理是空间域图像增强的一种方法。由于图像灰度范围狭窄会使图像的对比度不理想,可用对比度增强技术来调整图像灰度值的动态范围。图像处理通常采用软件或者 DSP(数字信号处理

2、器)来实现。如果利用软件实现,运行时会耗费较多的 PC 资源,而且算法越复杂时耗费的资源就越多,对于需要高速处理的情况就不适用了;而如果采用 DSP 来实现,提高并行性的同时指令执行速度必然会提高,较高的指令速度可能导致系统设计复杂化,并增加功耗和成本。新一代的 FPGA 集成了 CPU 或 DSP 内核,可以在一片 FPGA 上进行软硬件协同设计,为实现 SOPC 提供了强大的硬件支持。本文介绍的是利用 FPGA 并行处理和计算能力,以 Altera FPGA Stratix EP1S40 为系统控制的核心实现的SOPC。系统硬件平台系统硬件平台采用基于 PCI 总线的 FPGA 开发平台,

3、结构如图 1 所示。板上主要硬件资源有:PCI 桥、FPGA、SRAM 和 SDRAM 等其他外设。图图 1 1 基于基于 PCIPCI 总线的总线的 FPGAFPGA 开发平台开发平台PCI 桥采用 PCI9656 桥接芯片实现,用来连接 PCI 总线与 32 位/50MHz 的板级局部总线;FPGA 包括 41 250 个逻辑单元,14 个 DSP 模块,112 个嵌入式乘法器,4 个增强型 PLL(锁相环)和 4 个快速型 PLL 等逻辑资源;SDRAM用来存储图像数据。Avalon 总线模块完成整个片上可编程系统组件之间的互连。Avalon 总线是 SOPC 设计中连接片上处理器和其他

4、 IP 模块的一种简单总线协议,规定了主、从构件之间的端口连接以及通信时序关系,SOPC Builder 来完成整个系统(包括 Avalon)模块的生成和集成。丰富的板级资源可以满足图像的高速预处理需求。系统工作原理系统工作原理设计采用 DMA 方式快速传输图像数据,这样数据的传输和处理可以并行起来,使得系统的运行效率大为提高。PC 与 PCI 板卡之间建立软件环境,在该环境下利用 DMA 通道把图像数据从主机通过 PCI 总线、PCI 桥、板级局部总线和 Avalon 总线传到 SDRAM 存储起来,然后送入算法逻辑模块进行处理,处理完成后再把图像数据存储到SDRAM 中,最后再用 DMA

5、通道把图像处理结果传送回主机。建立片上系统中的关键模块建立片上系统中的关键模块本文设计的图像对比度增强系统是建立在 Stratix EP1S40 基础上的 SOPC。该设计在 Quartus II 5.0 中利用SOPC Builder 将系统的工作频率设为 50MHz,器件系列设为 Stratix,调用已经建立的 IP 模块和算法逻辑模块,并设置各个模块的时钟和基地址,配置生成片上系统。根据任务要求,SOPC 结构框图如图 2 所示。图图 2 2 SOPCSOPC 结构框图结构框图图 2 中,Avalon 总线接口分为主(Master)和从(Slave)两类,前者具有相接的 Avalon 总

6、线的控制权,后者是被动的。自定制的 Avalon 从外设根据对 Avalon 总线操作方式的不同分为两类:一类是 Avalon 从(Slave)外设,只是作为 Avalon 总线的一个从元件来处理;另一类是 Avalon 流模式从(Streaming Slave)外设,需要使用Avalon 总线的流传输模式,外设的设计更复杂。 板级局部总线与板级局部总线与 AvalonAvalon 总线桥之间的通信模块总线桥之间的通信模块在板级局部总线与 FPGA 内部 Avalon 总线桥之间建立通信模块。由于 SOPC 内部各模块之间的逻辑连接是由Avalon 总线完成的,所以可以从主机通过 PCI 总线

7、和板级局部总线访问 SOPC 组件。该模块作为 Avalon 总线的一个主控接口,对其他 Avalon 从外设和 Avalon 流模式从外设进行访问和控制。 控制模块控制模块控制模块的工作时钟独立于系统时钟,如图 3 所示。包括两个模块的设计:(1)用于对 SDRAM 存储资源做合理分配的流模式存储管理器模块,它作为 Avalon 流模式从外设,读写端口的数量可配置,这些读写端口可以以流传输模式把图像数据读出或写入 SDRAM。(2)存储器控制模块,它作为 Avalon 总线的另一主控接口,对作为Avalon 总线从控接口的 SDRAM 控制器进行控制。图图 3 3 控制模块控制模块 图像对比

8、度增强算法及图像对比度增强算法及 VHDLVHDL 实现实现在图像对比度处理方法中,可以设计出不同的转换函数。本系统是针对锯齿形函数转换设计的,锯齿形转换可以把几段较窄灰度区间拉伸到较宽的灰度范围内,这种处理可以把灰度变化较平缓的区域也较鲜明地显示出来,从而增强图像中感兴趣的特征。该设计对一帧 30082000 像素、数据宽度为 8 位的灰度图像进行处理,图像共有 2000 行、3008 列像素点,行和列均从 0 开始计起。在系统时钟上升沿、行有效信号与数据有效信号均有效时,把图像数据读入进来,对其做相应处理。每个系统时钟上升沿读入一个图像数据,在第 N 行像素点处理完后,第 N+1 行有效信

9、号与数据有效信号必须同时有效才能继续处理,在对第 1999 行、3007 列像素点处理完毕后,行有效信号与数据有效信号置为无效,此时整帧图像处理完毕。算法逻辑模块中需要帧有效计数器和行有效计数器各一个,分别用来对帧和行进行计数,还需要一个像素计数器对某行像素做计数统计。由于该算法逻辑模块是基于 SOPC 技术,能够在SOPC Builder 工具中作为自定制模块调用,需设置两个寄存器,分别是帧有效计数寄存器和行有效计数寄存器,它们根据 Avalon 总线地址的不同,同时作为 Avalon 总线的读或写寄存器,从而使自定义模块可以和 Avalon 总线进行通信。每输入一个像素点判断其灰度值满足设

10、置的哪个区间范围并做相应转换,从而实现一帧图像的对比度增强处理。根据算法需求,采用 VHDL 语言对算法逻辑建模,并以 Avalon 总线从外设的方式在 SOPC Builder 中调用。VHDL 实现如下。entity enhancement isport (iLVAL : in std_logic;iDVAL : in std_logic;iFVAL : in std_logic := 1; iCLK : in std_logic;iDATA : in std_logic_vector(7 downto 0);oLVAL : out std_logic; oDVAL : out std_l

11、ogic;oFVAL : out std_logic;oDATA : out std_logic_vector (7 downto 0);end enhancement;这里 iFVAL 被置为“1”,说明是对一帧图像进行处理;iDATA 与 oDATA 是图像输入、输出二进制数据,宽度为8 位。图像预处理系统的实现图像预处理系统的实现用标准化硬件描述语言 VHDL 建立 FPGA 模块实现。按照自顶向下的设计方法生成各设计阶层,将设计任务分解为不同的功能元件,每个元件具有专门定义的输入输出端口并执行各自的逻辑功能。该 SOPC 设计通过以下步骤实现。(1)利用 SOPC Builder 工具

12、编译生成用户自定制模块,调用已建立的 IP 模块并设置参数,配置生成片上系统;(2)在顶层 VHDL 文件中调用模块并示例化,进行信号连接;(3)对整个系统进行管脚等约束设置,全编译并进行行为和时序验证;(4)下载和硬件调试完成。结束语结束语该系统对实验室在光线较弱情况下所拍的一帧 30082000 灰度图像进行对比度增强处理,处理前后如图 4、5所示。在 SOPC 系统时钟为 50MHz 时,对于输入大小为 30082000 像素点的灰度图像,每一帧的处理时间为T=(1/(50106)30082000=0.120 32s。图图 4 4 原图像原图像图图 5 5 处理后图像处理后图像而在 3GHz Pentium 4、256MB 内存的 PC 上通过 Matlab 用代码实现则需 0.9850s。该设计采用 FPGA 实现图像预处理系统,与利用软件处理图像的方法比较起来,可以更快速地实现对图像的预处理。同时系统构成灵活,设计中模块的可重复开发性降低了生产成本、缩短了生产周期,提高了工作效率。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号