流水灯设计--EDA课程设计

上传人:第** 文档编号:36321137 上传时间:2018-03-27 格式:DOC 页数:13 大小:615.50KB
返回 下载 相关 举报
流水灯设计--EDA课程设计_第1页
第1页 / 共13页
流水灯设计--EDA课程设计_第2页
第2页 / 共13页
流水灯设计--EDA课程设计_第3页
第3页 / 共13页
流水灯设计--EDA课程设计_第4页
第4页 / 共13页
流水灯设计--EDA课程设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《流水灯设计--EDA课程设计》由会员分享,可在线阅读,更多相关《流水灯设计--EDA课程设计(13页珍藏版)》请在金锄头文库上搜索。

1、EDA 课程设计1EDA 课课程程设计设计流水灯流水灯设计设计姓姓 名名: 专专 业业: 电电子信息工程子信息工程班班 级级: 学学 号号: 同同组组人:人:指指导导老老师师:2012-11-9EDA 课程设计2目目 录录一、摘要一、摘要3 二、流水灯二、流水灯设计设计目的目的4 三、流水灯三、流水灯设计设计流程流程4 四、流水灯四、流水灯设计设计程序程序5 五、流水灯五、流水灯设计设计管脚分配管脚分配7 六、功能仿真六、功能仿真图图8 七、原理七、原理图图 波形波形图图9 八、八、设计设计注意事注意事项项10 九、九、课课程程设计总结设计总结11十、参考文献十、参考文献12 十一、十一、评评

2、分表分表 13EDA 课程设计3一、摘要一、摘要随着随着 EDA 技技术发术发展和展和应应用用领领域的域的扩扩大与深入,大与深入,EDA 技技术术在在电电子信息、通子信息、通讯讯、 、自自动动控制及控制及计计算机算机应应用等用等领领域的重要性突出。随着技域的重要性突出。随着技术术市市场场与人才市与人才市场对场对 EDA的需求不断提高,的需求不断提高,产产品的市品的市场场需求和技需求和技术术市市场场的要求也必然会反映到教学的要求也必然会反映到教学领领域域和科研和科研领领域中来。因此学好域中来。因此学好 EDA 技技术对术对我我们们有很大的益有很大的益处处。 。EDA 是指以是指以计计算机算机为为

3、工具,在工具,在 EDA 软软件平台上,根据件平台上,根据设计设计社描述的源文件(原理社描述的源文件(原理图图文件、硬件描述文件、硬件描述语语言文件或波形言文件或波形图图文件),自文件),自动动完成系完成系统统的的设计设计,包括,包括编译编译、仿真、仿真、优优化、化、综综合、适合、适配(或布局布配(或布局布线线)以及下)以及下载载。 。流水灯是一串按一定的流水灯是一串按一定的规规律像流水一律像流水一样连续闪样连续闪亮,流水灯控制是可亮,流水灯控制是可编编程控程控制器的一个制器的一个应应用,其控制思想在工用,其控制思想在工业业控制技控制技术领术领域也同域也同样样适用。流水灯控制可用适用。流水灯控

4、制可用多种方法多种方法实现实现,但,但对现对现代可代可编编程控制器而言,基于程控制器而言,基于 EDA 技技术术的流水灯的流水灯设计设计也是也是很普遍的。很普遍的。课课程程设计设计主要的目的是通主要的目的是通过过某一某一电电路的路的综综合合设计设计,了解一般,了解一般电电路路综综合合设计设计过过程、程、设计设计要求、要求、应应完成的工作内容和具体的完成的工作内容和具体的设计设计方法、通方法、通过设计过设计也有助于复也有助于复习习、 、巩固以往的学巩固以往的学习习内容、达到灵活内容、达到灵活应应用的目的。在用的目的。在设计设计完成后,完成后,还还要将要将设计设计的的电电路路进进行安装、行安装、调

5、试调试以加以加强强学生的学生的动动手能力。在此手能力。在此过过程中培养从事程中培养从事设计设计工作的整体工作的整体观观念。念。课课程程设计应强调设计应强调以能力培养以能力培养为为主,在独立完成主,在独立完成设计设计及制作任及制作任务务同同时时注意多注意多方面能力的培养与提高,主要包括以下方面:方面能力的培养与提高,主要包括以下方面:独立工作能力和独立工作能力和创创造力。造力。综综合运用合运用专业专业及基及基础础知知识识,解决,解决实际实际工程技工程技术问题术问题的能力。的能力。查阅图书资查阅图书资料、料、产产品手册和各种工具品手册和各种工具书书的能力。的能力。EDA 课程设计4写技写技术报术报

6、告和告和编编制技制技术资术资料的能力。料的能力。实际动实际动手能力。手能力。利用学到的利用学到的电电子技子技术术知知识识,通,通过过布置具有一定布置具有一定难难度的度的设计题设计题目,帮助学生熟目,帮助学生熟悉悉课课程程设计设计任任务务和和设计设计方法。方法。二、二、设计设计目的目的1,学,学习习使用使用 EDA 集成集成设计软设计软件件 Quartus II,电电路描述,路描述,综综合,模合,模拟过拟过程。程。2,了解基于,了解基于 EDA 应应用系用系统统的的设计设计方法。方法。3,掌握使用,掌握使用 EDA 工具工具设计设计流水灯的流水灯的设计设计思路和思路和设计设计方法方法4,熟,熟练

7、练使用使用 Quartus II 对实验对实验程序程序进进行改行改错错, ,调试调试以及演示以及演示现现象。象。 。 。5,帮助学生养成良好的,帮助学生养成良好的实验习惯实验习惯。 。三、三、设计设计流程流程( (1)此次)此次实验实验要求我要求我们们通通过过学学习习的的 EDA 课课程程,来来编编制制 Verilog 程序,以及使用程序,以及使用这这些程序代些程序代码码来来显显示我示我们们所要求的所要求的结结果。流水灯果。流水灯设计设计是由八只是由八只 LED 显显示灯来示灯来实现实现的,通的,通过过程序代程序代码码来控制来控制这这八只灯的亮八只灯的亮灭灭,在,在实验实验初期,我初期,我们们

8、首先得首先得编编程序,通程序,通过过我我们们上上课课所学的基所学的基础础知知识识,再,再结结合近段合近段时间时间去去图书馆查阅图书馆查阅的有关参考的有关参考书书籍,以籍,以及网上所搜索的相关知及网上所搜索的相关知识识,我,我们们小小组组共同完成了程序的共同完成了程序的编编制,程序代制,程序代码码在下面有在下面有详细详细介介绍绍。 。( (2)其次,我)其次,我们们需要掌握需要掌握 Quartus II 的用法,因的用法,因为为要求的要求的现现象是通象是通过这过这个个软软件件实实现现的,在的,在 EDA 实验课实验课程中老程中老师师有有详细详细介介绍绍 Quartus II 的使用方法,所以在的

9、使用方法,所以在这这一一阶阶段段对对我我们们来来说说也比也比较较得心得心应应手,安装,手,安装,调试调试,然后将,然后将编编好的程序好的程序输输入,在此入,在此过过EDA 课程设计5程中我程中我们们遇到了点小困遇到了点小困难难, ,显显示程序有示程序有错误错误,但是通,但是通过过我我们们集体的努力,集体的努力,终终于于发发现现,是因,是因为为在操作在操作时时,我,我们应该们应该先先创创建自己的文件建自己的文件夹夹,然后,然后统统一存放在一起,而一存放在一起,而我我们们存放的地方有存放的地方有误误,所以,所以显显示不出我示不出我们们所所输输入的代入的代码码。 。( (3)当所有的程序)当所有的程

10、序输输入无入无误误后,我后,我们们要要为为每个器件每个器件设设置管脚,因置管脚,因为这为这些在些在实验课实验课上老上老师师有有说过说过,而且管脚号也已告,而且管脚号也已告诉诉我我们们,只需,只需查询查询便可,所以便可,所以这这一一阶阶段段应该说应该说也挺也挺简单简单的。的。( (4)当所有的)当所有的这这一切准一切准备备工作做完后就是工作做完后就是该该演示演示现现象了,象了,这这是最关是最关键键的一步,因的一步,因为实验为实验教材有限,所以不能保教材有限,所以不能保证证我我们们每位同学都来演示,我每位同学都来演示,我们们一一组组人中只需一个人中只需一个代表将我代表将我们们的程序整理一下,然后演

11、示一遍,的程序整理一下,然后演示一遍,8 只只 LED 显显示灯能被控制其亮示灯能被控制其亮灭灭, ,会会显显示像流水一示像流水一样样的灯光。那么我的灯光。那么我们们便可以通便可以通过这过这次的次的课课程程设计设计了,在此了,在此过过程中,程中,我我们们每个人的任每个人的任务务不同,我不同,我虽虽然没有然没有亲亲手去手去调试调试,但是我也了解它的运作。,但是我也了解它的运作。( (5) )设计报设计报告也是我告也是我们课们课程程设计设计的最后一步了,的最后一步了,说说白了就是将我白了就是将我们这们这一周所干的一周所干的事情事情总结总结一下,从开始使用一下,从开始使用 Verilog 编编程序,

12、在程序,在 Quartus II 输输入程序,及改正入程序,及改正错错误误, ,调试调试,运行,运行,显显示示现现象。象。这这所有的所有的东东西西详细详细的的说说明。那么明。那么这这次的次的课课程程设计设计就就圆圆满结满结束。束。四、流水灯四、流水灯设计设计程序程序module light(clk,l0,l1,l2,l3,l4,l5,l6,l7);input clk;output reg l0,l1,l2,l3,l4,l5,l6,l7;reg3:0 shu;always (posedge clk)begin if(shu=15) shu=0;EDA 课程设计6else shu=shu+1;ca

13、se(shu)4b0000:begin l0=1;l1=0;l2=0;l3=0;l4=0;l5=0;l6=0;l7=0;end4b0001:begin l0=0;l1=1;l2=0;l3=0;l4=0;l5=0;l6=0;l7=0;end 4b0010:begin l0=0;l1=0;l2=1;l3=0;l4=0;l5=0;l6=0;l7=0;end 4b0011:begin l0=0;l1=0;l2=0;l3=1;l4=0;l5=0;l6=0;l7=0;end 4b0100:begin l0=0;l1=0;l2=0;l3=0;l4=1;l5=0;l6=0;l7=0;end4b0101:beg

14、in l0=0;l1=0;l2=0;l3=0;l4=0;l5=1;l6=0;l7=0;end4b0110:begin l0=0;l1=0;l2=0;l3=0;l4=0;l5=0;l6=1;l7=0;end4b0111:begin l0=0;l1=0;l2=0;l3=0;l4=0;l5=0;l6=0;l7=1;end4b1000:begin l0=1;l1=0;l2=1;l3=0;l4=1;l5=0;l6=1;l7=0;end4b1001:begin l0=0;l1=1;l2=0;l3=1;l4=0;l5=1;l6=0;l7=1;end 4b1010:begin l0=1;l1=1;l2=0;l

15、3=0;l4=0;l5=0;l6=0;l7=0;end 4b1011:begin l0=0;l1=0;l2=1;l3=1;l4=0;l5=0;l6=0;l7=0;end 4b1100:begin l0=0;l1=0;l2=0;l3=0;l4=1;l5=1;l6=0;l7=0;end4b1101:begin l0=0;l1=0;l2=0;l3=0;l4=0;l5=0;l6=1;l7=1;end4b1110:begin l0=1;l1=1;l2=1;l3=1;l4=0;l5=0;l6=0;l7=0;end4b1111:begin l0=0;l1=0;l2=0;l3=0;l4=1;l5=1;l6=1;l7=1;endendcaseendendmoduleEDA 课程设计7五、流水灯五、流水灯设计设计管脚分配管脚分配六六、 、功能仿真菜功能仿真菜单单EDA 课程设计8七七、原理、原理图图EDA 课程设计9八八、波形、波形图图九、九、设计设计注意事注意事项项EDA 课程设计10在在编编写程序写程序时时,注意格式以及拼写是否正确,因,注意格式以及拼写是否正确,因为为 Quartus II 要求的程序代要求的程序代码码也是很也是很严严格的,所以没有扎格的,所以没有扎实实的的 Verilog 编编程基程基础础,也很,也很难难得到所要求的程序。得到所要求的

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 企业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号