密码锁功能EDA课程设计报告

上传人:飞*** 文档编号:35871606 上传时间:2018-03-21 格式:DOC 页数:16 大小:194.08KB
返回 下载 相关 举报
密码锁功能EDA课程设计报告_第1页
第1页 / 共16页
密码锁功能EDA课程设计报告_第2页
第2页 / 共16页
密码锁功能EDA课程设计报告_第3页
第3页 / 共16页
密码锁功能EDA课程设计报告_第4页
第4页 / 共16页
密码锁功能EDA课程设计报告_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《密码锁功能EDA课程设计报告》由会员分享,可在线阅读,更多相关《密码锁功能EDA课程设计报告(16页珍藏版)》请在金锄头文库上搜索。

1、1 / 16第 1 章 设计说明1.1 总体设计说明总体设计说明本实验中说要求设计的电子密码锁密码为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。下面对每一大部分分别进行讨论:、矩阵键盘接口电路设计,由于硬件电路没有提供该矩阵键盘,用开关代替该部分电路。、密码锁的控制电路设计、输出七段显示电路的设计1.2 密码锁功能说明密码锁功能说明、数据输入:每按一个数字键,就输入一个数值,并在显示器上的最右上方显示出该数字,并将先前已经输入的数据依序左移一个数字位置。、数码清除:按下此键可以清除前面所有的输入值,清除成为“0000” 。、密码更改:按下此键时将目前的

2、数字设定成新的密码。、激活电锁:按下此键可将密码锁上锁。、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。2 / 16第 2 章 硬件说明本设计所需的硬件主要有:七段译码器、发光二极管(用来模拟电子锁, 红灯亮为加锁,绿灯亮为解锁) 、EPF10K10LC84-4适配器。我们使用教学使用 的EDA试验箱,用独立式开关按键,七段译码器,有发光二极管,有红色和绿 色,芯片为EPF10K10LC84-4。3 / 16第 3 章 设计内容程序包括六个逻辑元件,分别为:数字按键输入、控制功能按键输入、 时钟分频器、处理核心、输出处理和七段译码器。3.1 数字按键输入数字按键输入3.1.1 功

3、能介绍读取数字键09。高电平表示按键未按下,低电平表示按键按下。按照09顺序读取,只能输出1位数字。输出4位二进制代码, “0000”“1001”表示09,用“1010”表示无输入。3.1.2 程序输入1.建立项目文件 2.建立设计文件在MAX+PLUS管理窗口中选择FileNew命令,建立文本编辑区,并在File Name文本框中输入shuzishuru.vhd,存盘。 3.编辑程序在文本编辑区内,输入程序,程序如下:library ieee; use ieee.std_logic_1164.all; entity shuzishuru is port( numin:IN std_logic

4、_vector(9 downto 0); numstate,clk:IN std_logic; numout:OUT std_logic_vector(3 downto 0); end shuzishuru; architecture EDA of shuzishuru is signal state:std_logic; signal mem:std_logic_vector(9 downto 0); begin process(clk) begin if clkevent and clk=1 then if state/=numstate then 4 / 16if mem/=numin

5、then case numin is when “1111111110“ = numout numout numout numout numout numout numout numout numout numout numout conout conout conout conout conout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout=“0000000“; end case; end process; end EDA; 4.保存文件并检查语法错误在MAX+

6、PLUS管理窗口中选择File/Project/Save&Check命令,可将变异的文件存盘并检查语法错误,如果有错误则返回编辑区内修改。 5.建立默认符号在MAX+PLUS管理窗口中选择File/Create Default Symbol命令,建立一个符号文件供顶层图形设计文件调用。14 / 163.7 逻辑元件连接图逻辑元件连接图3.7.1 功能介绍将各个模块连接在一起实现。3.7.2 图形输入1.建立项目文件启动MAX+PLUS软件,进入管理窗口。在File菜单下选择Project/Name命令,在对话框中,指定项目文件和驱动器。 2.图形输入(1)建立图形输入文件建立图形输入文件,在M

7、AX+PLUS管理窗口的File的菜单下选择New命令,选择Graphic Editor file进入图形编辑区。并在File Name文本框中输入mimasuo.gdf,存盘。(2)编辑原理图调用元件符号,将先前建立的默认符号一一调出并调出输入和输出符号,进行图形连接。连接如下:3.保存文件并检查基本错误在MAX+PLUS管理窗口中选择File/Project/Save&Check命令,将编辑的文件存盘并运行集成编辑器的网络表提取器模块检查文件的错误。15 / 16第 4 章 总 结通过这次课程设计,使我学以致用,更好的掌握并使用可编辑程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。在课设过程中遇到一些问题,及时找同学和同学一起探讨,体会到团结合作的精神,及时发现问题及时解决。理论与实践相结合的重要性。16 / 16

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 企业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号