asic系统设计实验报告_交通灯控制器

上传人:第*** 文档编号:34615558 上传时间:2018-02-26 格式:DOC 页数:6 大小:166KB
返回 下载 相关 举报
asic系统设计实验报告_交通灯控制器_第1页
第1页 / 共6页
asic系统设计实验报告_交通灯控制器_第2页
第2页 / 共6页
asic系统设计实验报告_交通灯控制器_第3页
第3页 / 共6页
asic系统设计实验报告_交通灯控制器_第4页
第4页 / 共6页
asic系统设计实验报告_交通灯控制器_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《asic系统设计实验报告_交通灯控制器》由会员分享,可在线阅读,更多相关《asic系统设计实验报告_交通灯控制器(6页珍藏版)》请在金锄头文库上搜索。

1、 第 1 页 共 6 页交通灯控制器的设计汪明 2080130204 信号与信息处理设计一个十字路口的交通灯控制系统,用于主干道与乡间公路的交叉路口。要求优先保证主干道的畅通,因此平时处于“主绿乡红”状态。控制系统通过一传感器来感知乡路上的行车情况,当感知到乡路上有行车时,如果此时主干道的运行时间超过一分钟,则进入“主黄乡红”的状态,四秒后即进入“主红乡绿”的状态,当传感器一直检测到车辆时,乡路运行的最长时间为 20 秒,这是,按照主干道运行 1 分钟、乡路运行 20 秒的时间规定来设定交通灯的状态。当传感器没有感知到车辆,则乡绿状态随即变为“乡黄主红”状态,运行四秒后,进入“主绿乡红”状态。

2、按照这种规则,设计出合理的系统结构,利用XILINX ISE 软件对设计的系统进行编程实现。1 交通灯控制器系统结构设计1.1 系统功能要求该交通灯系统为一个十字路口交通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于 1 分钟,乡间公路每次通行时间不得长于 20 秒。而在两个状态交换过程中出现的“主黄,乡红”和“主红,乡黄”状态,持续时间都为 4 秒。交通

3、灯的状态转换规律如图 1 示。图 1 交通灯控制器状态转换规律在实验的过程中,要求系统能够按照正确的状态给出各灯的控制信号,不需要设计放大电路。通过 6 个 LED 灯来表示主干道和乡路上的 6 盏灯,在任一时刻,主干道和乡道中必须有一盏灯为亮的状态。通过分析交通灯控制器的状态变化以及变化所需要的条件,画出交通灯控制器的状态转换图,通过有限状态机的设计方法来进行系统的设计。基于这些要求,完成系统的设计,通过 XINLINX ISE 软件将所设计的系统下载到目标板XC3S200FT256 上,测试结果的正确性。1.2 系统结构设计根据上述要求,对系统的结构进行设计。从要求中可以看出,系统应包含分

4、频器、计 第 2 页 共 6 页数器、交通灯控制器、时间显示模块和片选模块几个部分。目标板 T9 引脚所提供的时钟为 50MHZ,所以先对系统时钟进行分频;交通灯控制信号按照一定的规则改变各交通灯的状态,并将相应信息传递给计数模块,计数模块则开始计时,并将计时的结果反馈给控制模块和时间显示模块,对交通灯控制模块和时间显示模块产生影响。总的系统方案如图 2示。在本实验中,我们只需完成交通灯控制模块的设计,安排好其余模块与控制模块之间的接口,便可根据输入信号来进行交通灯状态的变换。图 2 系统方框图2 交通灯控制器的 VHDL 程序设计经过上面的分析,可设计出系统方案,构造出了系统的方框图。根据方

5、框图,可以将系统划分为分频器模块、交通灯控制模块、计数器模块、片选模块、时间显示模块和顶层设计几个部分。下面将主要针对交通灯控制模块进行设计,完成 VHDL 程序的编写和仿真。从模块划分中可看出,交通灯控制模块为系统的核心部分。在这里,我们将采用有限状态机的设计方法对这一模块进行设计。从交通灯的状态转换规律图 1 可看出,改系统总共有四种状态,可认为定义为 MGCR、MYCR 、MRCG 和 MRCY。传感器信号 S、4 秒计时信号 CLK4S、20 秒计时信号 CLK20S、1 分钟计时信号 CLK1M 的变化将会使交通灯的状态发生变化,跟据状态转换规律,可画出交通灯的状态转换图,如图 3

6、示。 第 3 页 共 6 页图 3 交通灯控制模块状态转换图对状态转换图进行分析可知,系统为一有限状态机,并且根据题目的要求,系统的初始状态应为主绿乡红(MGCR ),在设计系统的时候,应加入系统时钟信号 CLK、复位信号 RST,以保证在系统程序出错或者跑飞的情况下能够迅速复位。而且在于其他模块的接口约定上,约定所有的计时信号为 1 时,表明处在计时当中,而计时信号出现下降沿时,表明计时时间到。根据这些特点,编写的 VHDL 程序如下示:-library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEE

7、E.STD_LOGIC_UNSIGNED.ALL;-交通灯控制模块-引脚设定entity control isport(clk:in std_logic; -系统时钟:displayclk(10KHZ)rst:in std_logic; -复位信号:对应按钮 BTN0S1:in std_logic; -控制信号:对应开关 SW7clk4s:in std_logic; -4 秒计时:处于计时阶段,信号为 1,否则为 0clk20s:in std_logic;-20 秒计时:处于计时阶段,信号为 1,否则为 0clk1m:in std_logic; -1 分计时:处于计时阶段,信号为 1,否则为

8、0MainR,MainY,MainG,CouR,CouY,CouG:out std_logic -分别对应发光二极管);end control;-行为部分:采用状态机设计的方法,为有限状态机architecture Behavioral of control istype tra_state is(MGCR,MYCR,MRCG,MRCY); -状态定义signal pre_state,nx_state:tra_state;beginrst_state:process(clk,rst)beginif(rst=1) thenpre_state -状态主绿乡红下对各信号的反应MainR -主黄乡红状

9、态,此时 CLK4s 在计时MainR -主红乡绿MainR -主红乡黄MainRnx_state=MGCR;end case;end process;end Behavioral;-3 系统仿真与实现完成系统的 VHDL 程序设计后,对上面的程序进行综合,没有语法错误后,便可设计合理的波形进行仿真了,该系统的输入比较多,设计的仿真波形图如图 4 示。然后通过调用软件 MODELSIM 软件进行仿真,得到的仿真结果如图 5 示。图 4 交通灯控制模块仿真波形设计图 5 交通灯控制模块的仿真波形从图 5 中可看出,对于不同的输入情况,交通灯控制器能够对应正确的状态,而且在输入发生变化时,状态之间

10、能按照系统的要求正确的在状态之间进行变换。这表明,这一模块的设计正确,完成了控制系统所提出的要求。对于整个控制系统来讲,改模块只是其中的一个模块,故应将设计好的模块添加进元件库,以便后续的使用。至此,设计好了控 第 6 页 共 6 页制模块,通过 XILINX ISE 软件可以看出模块的顶层封装图如图 6 示,内部电路构造图如图 7 示。图 6 交通灯控制模块封装图图 7 交通灯控制器的内部电路原理图4 总结通过对交通灯系统的设计,加深了对 VHDL 语法的理解,掌握了有限状态机的设计方法。交通灯控制系统总共有四个状态,根据输入的不同变化来改变交通灯的各种状态,进而实现交通灯控制与管理的功能。通过这次设计,使得我对系统有了更深层次的理解,对设计一个系统的流程有了一定的认识,也加快了设计的进程。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号