数据选择器设计(实验报告)

上传人:xzh****18 文档编号:34261296 上传时间:2018-02-22 格式:DOC 页数:6 大小:239KB
返回 下载 相关 举报
数据选择器设计(实验报告)_第1页
第1页 / 共6页
数据选择器设计(实验报告)_第2页
第2页 / 共6页
数据选择器设计(实验报告)_第3页
第3页 / 共6页
数据选择器设计(实验报告)_第4页
第4页 / 共6页
数据选择器设计(实验报告)_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《数据选择器设计(实验报告)》由会员分享,可在线阅读,更多相关《数据选择器设计(实验报告)(6页珍藏版)》请在金锄头文库上搜索。

1、 实验报告实验中心 电子子信息技术实验中心 专业年级 电子信息科学与技术 2012级实验课程 EDA技术与课程设计 姓 名实验名称 实验一:数据选择器设计 学 号提交日期 成 绩一、实验目的熟悉 Quartus的 VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。二、实验设备GW48系列 SOPC/EDA实验开发系统实验箱 一台 计算机 一台三、实验内容1首先利用 Quartus完成 2 选 1 多路选择器的文本编辑输入 (mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。源程序为:ENTITY

2、mux21a ISPORT ( a, b, s: IN BIT; y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s) BEGINIF s = 0 THEN y = a ; ELSE y = b ;END IF;END PROCESS;END ARCHITECTURE one ; 2图(1 ) 2 选 1多路选择器的编译图、 图(2) 功能仿真的波形图图(3 ) 功能引脚图3图(4) 2 选 1多路选择器的 RTL电路2. 将此多路选择器看成是一个元件 mux21a,利用元件例化语句描

3、述图,并将此文 件 放 在同 一 目 录 中 。 并 对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以 下 是程序:4图(5) 双二选一多路选择器的编译图图(6) 双路数据选择器功能仿真图图(7) 双二选一多路选择器的引脚锁定图图 5 双 2选 1多路选择器图(8) 双路数据选择器 RTL电路图5图(9) 编程下载图3.、引脚锁定以及硬件下载测试。若选择目标器件是 EP1C3,建议选实验电路模式 5,用键 1(PIO0,引脚号为 1)控制 s0;用键 2(PIO1,引脚号为 2)控制 s1;a3、a2 和 a1 分别接 clock5(引脚号为 16)、clock0( 引脚号为 9

4、3)和 clock2(引脚号为 17);输出信号 outy 仍接扬声器 spker(引脚号为 129)。通过短路帽选择 clock0 接 256Hz 信号,clock5 接1024Hz,clock2 接 8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键 1、键2,控制 s0、s1,可使扬声器输出不同音调) 。图(10) 实验电路模式 56图(11) 功能引脚图四、实验总结1 实验存在的问题上学期尽管学过 quartusII 但是由于长时间没有操作,很多都已经忘了,所以操作起来 不是很熟练。特别是程序下载方面。2 解决问题的方法通过在实验中慢慢回忆起以前学的知识,渐渐熟悉其过程。再有问题就和同学交流帮忙!3 实验收获对 quartusII 的操作方法更熟练了,也明白它是干什么的了!对软件有了更深的认识。同时也明白了!不管哪方面的学习都要温故而知新。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 研究报告 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号