LVDS接口电路基本原理

上传人:飞*** 文档编号:33920900 上传时间:2018-02-19 格式:DOC 页数:9 大小:325.50KB
返回 下载 相关 举报
LVDS接口电路基本原理_第1页
第1页 / 共9页
LVDS接口电路基本原理_第2页
第2页 / 共9页
LVDS接口电路基本原理_第3页
第3页 / 共9页
LVDS接口电路基本原理_第4页
第4页 / 共9页
LVDS接口电路基本原理_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《LVDS接口电路基本原理》由会员分享,可在线阅读,更多相关《LVDS接口电路基本原理(9页珍藏版)》请在金锄头文库上搜索。

1、LVDS 接口电路基本原理1 LVDS 接口电路的基本结构 .12 LVDS 接口电路驱动器原理 .23 LVDS 接口电路差分传输线 .43.1 差分线的阻抗匹配 .43.2 差分线的端接 .53.3 差分信号的布线 .64 LVDS 接口电路接收器原理 .75 LVDS 信号的测试 .85.1 选择示波器的要求 .85.2 探头的选择 .91 LVDS 接口电路的基本结构一个简单的 LVDS 传输系统由一个驱动器和一个接收器通过一段差分阻抗为100 的导体连接而成。如图 1 所示,驱动器的电流源(通常为 3.5mA)来驱动差分线对,由于接收器的直流输入阻抗很高,驱动器电流大部分直接流过10

2、0 的终端电阻,从而在接收器输入端产生的信号幅度大约 350mV 。通过驱动器的开关,改变直接流过电阻的电流的有无,从而产生“1”和“0”的逻辑状态。在最新生产的 LVDS 接收器中,100 左右的电阻甚至被直接集成在片内输入端上,如 MAXIM 公司的 MAX9121/9122 等。图 1 LVDS 接口电路基本结构2 LVDS 接口电路驱动器原理The Telecommunications Industry Association(TIA)颁布了一个标准,规定了用于转换二进制信号的 LVDS 接口电路的电气规格。LVDS 技术利用低电压差分信号来产生高速、低功率的数据传输。差分信号的使用消

3、除了共模噪声,因此确保了数据的传输速度以及抗噪性能。如果想了解 LVDS 标准的详细信息,请查阅“Electrical Characteristics of Low Voltage Differential Signaling(LVDS)Interface Circuits” ,TIA/EIA-644(March 1996) 。图 2-1 举例说明了一个通过一对差分连线 115 连接到一个 LVDS 接收器 110的 LVDS 发生器 100。LVDS 发生机 100 将一个数字的输入信号 D_IN 转换成为一对在差分输出终端 TX_A 和 TX_B 上的相反的 LVDS 输出信号。有一个 1

4、00 欧姆的终端负载电阻 RL 跨接在差分传输线 TX_A 和 TX_B 之间,并且让 LVDS 发生器100 的输出阻抗满足上面提到的 LVDS 标准。LVDS 接收器 110 接收来自差分传输线 TX_A 和 TX_B 的差分输入信号,并且把它们转换成为一个数字输入信号 D_OUT。LVDS 行业标准规定了 LVDS 接收器110 的电气特性。现在接收器直接应用于差分信号发生器,关于接收器 110 的广泛讨论不包括在现在的应用里。图 2-1 一个简单的 LVDS 系统图 2-2 描述了如 100 所示的 LVDS 发生器 110 的工作原理。LVDS 发生器 100包括了一个与一个驱动电路

5、 205 连接的前置放大器 200。前置放大器 200 接收二进制数据信号 D_IN,并生成一对相反的数据信号 D 和 D/(信号名称以“/”结尾表示低有效)。除非被另外指定,每一个信号都连接到如原理图所示的节点。因此,比如发生器 100 的输入终端和输入信号都指定为 D_IN。驱动电路 205 包括了一个 PMOS 负载晶体管 207 和一个 NMOS 负载晶体管209。每一个负载晶体管都由各自的偏置电压 PBIAS 和 NBIAS 产生一个相关稳定的驱动电流响应。驱动电路 205 又包含了 4 个驱动晶体管211、213、215、217。如果信号 D_IN 是一个逻辑“1” (比如 3.3

6、V),前置放大器 200 便在终端 D上产生一个逻辑 “1”,在终端 D/上产生一个逻辑 “0”(比如 0V) 。终端 D 上的逻辑“1”使晶体管 211 和 217 导通,产生电流流下晶体管 207 和 211 的电位,上拉负载电阻 RL,并且下拉晶体管 217 和 209 至地(如箭头 219 所示) 。电流经过终端负载 RL,在输出终端 TX_A 和 TX_B 之间产生一个负电压。相反的,如果信号 D_IN 是一个逻辑“0” ,前置放大器 200 便在终端 D 上产生一个逻辑“0” ,在终端 D/上产生一个逻辑“1” 。终端 D/上的逻辑“1”让晶体管 213 和 215 导通,产生电流

7、流下晶体管 207、215、终端负载 RL、213,以及晶体管 209 后到地(如箭头 221 所示) 。改电流通过终端负载 RL,在输出终端 TX_A 和 TX_B 之间产生一个正电压。图 2-2 LVDS 驱动器原理图 图 2-3 是一个描述当信号通过图 2-1 和 2-2 所示负载 RL 时发生变化后各个负载的电压曲线。LVDS 发生器 100 在一对终端 TX_A 和 TX_B 上产生一对差分输出信号。LVDS 标准要求终端 TX_A 和 TX_B 之间的电压保持在 250mV 到 450mV这个范围,并且要求两个差分电压的中间值保持在 1.2V 左右。终端 TX_A 到终端 TX_B

8、 之间为负电压表示一个二进制的“1” ,正电压表示一个二进制的“0” 。图 2-3 LVDS 输出电平曲线3 LVDS 接口电路差分传输线数字信号在差分线上传输时是奇模传输方式,即正负两路信号的相位相差180,而噪声以共模的方式在一对差分线上耦合出现,在接受器中正负两路的电压(或电流)相减,从而可以获得信号,消除共模噪声。而差分线对的低压幅或电流驱动输出实现了高速集成功耗的要求。3.1 差分线的阻抗匹配差分线是分布参数系统,因此在设计 PCB 时必须进行阻抗匹配,否则信号将会在阻抗不连续的地方发生反射,信号反射在数字波形上主要表现为上冲、下冲和振铃现象。式(1)是一个信号的上升沿(幅度为 EG

9、)从驱动端经过差分传输线到接收端的频率响应:其中信号源的电动势为 EG,内阻抗为 ZG,负载阻抗为 ZL;Hl()为传输线的系统函数。 L 和 G 分别是信号接收端和信号驱动端的反射系数,由以下两式表示: 由式(1)可以看出,传输线上的电压是由从信号源向负载传输的入射波和从负载向信号源传输的反射波的叠加。只要我们通过阻抗匹配使 L 和 G 等于0,就可以消除信号反射现统函数。在实际工程应用中,一般只要求 L=0,这是因为只要接收端不发生信号反射,便不会有信号反射回源端,产生源端反射。由式(2)可知,如果 L =0,则必须 ZL=Z0,即传输线的特性阻抗等于终端负载的电阻值。传输线的特性阻抗可以

10、由有关软件计算出来,它和差分线的线宽、线距及相邻介质的介电常数有关,一般把差分线的特性阻抗控制在 100 左右。值得注意的是,一个差分信号在多层 PCB 的不同层传输时(特别是内外层都走线时),要及时调整线宽线距来补偿因为介质的介电常数变化带来的特性阻抗变化。终端负载电阻的控制要根据不同的逻辑电平接口,来选择适当的电阻网络和负载并联,以达到阻抗匹配的目的。3.2 差分线的端接差分线的端接要满足 2 方面的要求:逻辑电平的工艺要求和传输线阻抗匹配的要求。因此,不同的逻辑电平工艺要采用不同的端接。LVDS 电平信号的端接。LVDS 是一种低摆幅的差分信号技术,它上面的信号可以以几百 Mbps 的速

11、率传输。LVDS 信号的驱动器由 1 个驱动差分线的电流源组成,通常电流为 3.5 mA。它的端接电阻一般只要跨接在正负两路信号的中间就可以了,如下图 a 所示LVDS 信号的接受器一般具有很高的输入阻抗,因此驱动器输出的电流大部分都流过了 100 的匹配电阻,并产生了 350 mV 的电压。有时为了增加抗噪声性能,差分线的正负两路信号之间用 2 个 5O 的电阻串联,并在电阻中间加 1个滤波电容到地(如图 b 所示) ,这样可以减少高频噪声。随着微电子技术的发展,很多器件生产商已经可以把 LVDS 电平信号的终端电阻做到器件内部,以减少 PCB 设计者的工作。图 3-1 LVDS 传输线的端

12、接3.3 差分信号的布线差分信号的布线是整个传输电路设计的难点。一般来说,按照阻抗设计规则进行差分信号布线,就可以确保 LVDS 信号质量。在实际布线当中,LVDS 差分信号布线应遵循以下原则:1 差分对应该尽可能地短、走直线、减少布线中的过孔数,差分对内的信号线间距必须保持一致,避免差分对布线太长,出现太多的拐弯。2 差分对与差分对之间应该保证 10 倍以上的差分对间距,减少线间串扰。必要时,在差分对之间放置隔离用的接地过孔。3 LVDS 差分信号不可以跨平面分割。尽管两根差分信号互为回流路径,跨分割不会割断信号的回流,但因为缺少参考平面而导致阻抗的不连续。4 尽量避免使用层间差分信号。在 PCB 板的实际加工过程中,由于层叠之间的层压对准精度大大低于同层蚀刻精度,以及层压过程中的介质流失,层间差分信号不能保证差分线之间间距等于介质厚度,因此会造成层间差分对的差分阻抗变化。因此建议尽量使用同层内的差分。5 在设计阻抗时,尽量设计成紧耦合方式,即差分对线间距小于或等于线宽。此外,在 LVDS 传输电路设计当中应当选用适合差分信号的高速接插件,一方面,接插件的特征参数能够与 LVDS 信号阻抗匹配,通过接插件的信号畸变很小;另一方面,能够提供足够的布线空间,设计 PCB 走线宽度和

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号