eda实习报告(刘志强-张世超(1)

上传人:第*** 文档编号:32874551 上传时间:2018-02-12 格式:DOC 页数:10 大小:736KB
返回 下载 相关 举报
eda实习报告(刘志强-张世超(1)_第1页
第1页 / 共10页
eda实习报告(刘志强-张世超(1)_第2页
第2页 / 共10页
eda实习报告(刘志强-张世超(1)_第3页
第3页 / 共10页
eda实习报告(刘志强-张世超(1)_第4页
第4页 / 共10页
eda实习报告(刘志强-张世超(1)_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《eda实习报告(刘志强-张世超(1)》由会员分享,可在线阅读,更多相关《eda实习报告(刘志强-张世超(1)(10页珍藏版)》请在金锄头文库上搜索。

1、智能竞赛计时抢答器的设计1EDA 实习报告设计课题: 智能竞赛计时抢答器 专业班级: 信息 84 学生姓名: 刘志强/张世超 指导教师: 黄桂林/李林 设计时间: 2010-12-27 至 2010-12-31 智能竞赛计时抢答器的设计2一、设计题目:1、设计一个可容纳 4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。3、设置一个主持人“复位”按钮。4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,数码管显示抢答组别,报警灯亮,答题开始。 5、设置一个计时电路开始 50s 的计时,当计时到 0s 时报警灯再次

2、点亮显示答题时间结束。二、设计的电路原理图:图 1三、产品功能及工作原理抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判断器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。选手开始答题,设置一个计时电路开始 50s 的计时,当计时到 0s 时报警灯再次点亮显示答题时间结束,回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。因此要完成抢答器的逻辑功能,该电路应包括抢答器鉴别模块、数码管段选模块、选手信息锁存报警模块、抢答序号转换模块

3、、数码管片选模块、答题倒计时模块、八段译码模块。(1)抢答器鉴别模块:此模块在一个选手按键后,输出高电平给锁存器,锁存当前的按键状态,没有时钟,只有硬件的延时,所以锁存错误的概率为零。CLR 清零端。智能竞赛计时抢答器的设计3(2)数码管段选模块:此模块产生数码管的段选,CLKY 为高频率,后接 138 译码器。(3)选手信息锁存报警模块:锁存器模块,在任一选手按下键后锁存,锁存的同时送出 ALM 信号,实现报警灯亮。(4)抢答序号转换模块:将抢答的结果转换为选手序号的二进制表达。(5)数码管的片选模块:选择数码管的片数。(6)答题倒计时模块:答题开始后 50s 的倒数计时。当倒计时结束时,报

4、警灯再次亮。CLK 接 1HZ 的时钟,EN为使能端当有选手按下键后保证 EN 有效。(7)八段译码模块:用于八段译码分别显示:09 在数码管上显示。四、设计电路的仿真波形图图 2智能竞赛计时抢答器的设计4图 3图 4五、对自行设计的产品总结与评价已完成功能:1、设计了一个可容纳 4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。3、设置了一个主持人“复位”按钮。4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,数码管显示抢答组别,报警灯亮,答题开始。 智能竞赛计时抢答器的设计55、可以实现计时电路开始 50s

5、 的计时,当计时到 0s 时报警灯再次点亮显示答题时间结束。值得改进的地方:由于频率太低未能驱动蜂鸣器,最后用 LED 灯代替,在以后的设计中需要注意。EDA( Electronic Design Automation)即电子设计自动化。EDA 技术指的是以计算机硬件和系统软件为基本工作平台,以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统设计的主要表达方式,自动完成集成电子系统设计的一门新技术。通过这一周的 EDA 设计,熟悉了 Quartus软件,锻炼了我们的团队协作能力和实际动手操作能力。这一学期我能学习了 EDA 这门课,但是大多还是被动的接受老师交给我们的知识,大多是理论上的知

6、识,并没有自己真正动手做东西。这次实习,我们小组经过讨论后,决定制作智能竞赛计时抢答器。在老师的指导下,我们基本实现了全部功能,.模块化设计有思路清晰、检查方便、扩展功能容易等诸多优势,且部分已经在本设计过程中得以体现。在设计中我们发现可编程逻辑器件功能还是比单片机强大,在以后的学习中还需要加强自己在 EDA 方面的知识和技能。六、参考文献1 李群芳,黄建EDA 技术M 北京:电子工业出版社, 2008:45-50.2 李文成,刘旭.可编程逻辑器件在粮仓温度湿度监测系统总的应用J ,农机化研究,2009, (8):56-58.3 王慕白.EDA 技术M/OL 工程师 .http:/ (2008

7、-10-09).4 陈嘉豪,刘宇,郑宏.基于 GPRS 的集中监控系统的设计与分析J. 无线传输,2010(8):12-18.5 潘松. 黄继业. EDA 技术实用教程 M北京: 科学出版社,2005:45-48.6 Mary, B. How to Learn EDA M. New York: Badman University Press,2004:12-19.7 Smith, Godson. et al. eds. FPGAM. London: engineering,2009:89-92.智能竞赛计时抢答器的设计6电气工程系 EDA 实习成绩评定表专业:电子信息科学与技术 班级:84 学

8、号: 332810/3238407 姓名: 刘志强/张世超 课题名称 智能竞赛计时抢答器设计设计任务与要求1、设计一个可容纳 4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。3、设置一个主持人“复位”按钮。4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,数码管显示抢答组别,报警灯亮,答题开始。 5、设置一个计时电路开始 50s 的计时,当计时到 0s 时报警灯再次点亮显示答题时间结束。课程小组评定 评定成绩: 课程负责人:时间: 年 月 日智能竞赛计时抢答器的设计7附录:程序清单1 抢答器鉴别模块:library ieee;use ieee.std_logic_1164.all;entity feng is port(cp,clr:in std_logic;q:out std_logic);end feng;architecture feng_arc of feng isbeginprocess(cp,clr)beginif clr=0 thenqqqqqqqqqqqqqqqqqqqqq=00000000;end case;end process;end disp_arc;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号