quartus lpm模块功能介绍中文版

上传人:第*** 文档编号:31313049 上传时间:2018-02-06 格式:DOC 页数:25 大小:53.50KB
返回 下载 相关 举报
quartus  lpm模块功能介绍中文版_第1页
第1页 / 共25页
quartus  lpm模块功能介绍中文版_第2页
第2页 / 共25页
quartus  lpm模块功能介绍中文版_第3页
第3页 / 共25页
quartus  lpm模块功能介绍中文版_第4页
第4页 / 共25页
quartus  lpm模块功能介绍中文版_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《quartus lpm模块功能介绍中文版》由会员分享,可在线阅读,更多相关《quartus lpm模块功能介绍中文版(25页珍藏版)》请在金锄头文库上搜索。

1、QUARTUS 8.0 LPM 模块功能介绍中文版( lwg9982004s Blog 转载)QUARTUS 8.0 LPM 模块功能介绍中文版 (lwg9982004s Blog 转载 )本人英语很好很多地方都翻译的不太专业,现在贴出来请高手修改下,同时也方便我们这些初学者。Megafunctions/LPMThe Quartus II software offers a variety of megafunctions, including the library of parameterized modules (LPM) functions and other parameterize

2、d functions. Megafunctions are listed below by function. Functions indicated by an asterisk (*) are provided for backward compatibility only.Arithmetic MegaWizards and Megafunctions:Arithmetic components include accumulators, adders, multipliers, and LPM arithmetic functions.MegaWizardMegafunction(s

3、)CommentsALTACCUMULATEaltaccumulateParameterized accumulator megafunction.参数化的寄存器模块ALTECCaltecc_decoderError correction code (ECC) megafunction.数据代码纠正模块altecc_encoderError correction code (ECC) megafunction.数据代码纠正模块ALTFP_ADD_SUBaltfp_add_subFloating-point adder/subtractor megafunction.浮点、加法器/减法器模块AL

4、TFP_COMPAREaltfp_compareParameterized floating-point comparator megafunction.参数化的浮点比较器模块ALTFP_CONVERT altfp_convertParameterized floating-point conversion megafunction.参数化的浮点转换器模块ALTFP_DIValtfp_divParameterized floating-point divider megafunction.参数化的浮点分配器模块ALTFP_MULTaltfp_multParameterized floating

5、-point multiplier megafunction.参数化的浮点乘法器模块ALTFP_SQRTaltfp_sqrtParameterized floating-point square root megafunction.参数化的浮点平方根模块ALTMEMMULTaltmemmultParameterized memory multiplier megafunction.数化的记忆乘法器模块ALTMULT_ACCUM (MAC)altmult_accumParameterized multiply-accumulate megafunction.参数化的乘-累积模块ALTMULT_A

6、DDaltmult_addParameterized multiplier/adder megafunction.参数化的乘法器/加法器模块ALMULT_COMPLEXaltmult_complexParameterized complex multiplier megafunction.参数化的综合乘法器模块ALTSQRTaltsqrtParameterized integer square root megafunction.参数化的整数平方根模块LPM_ABSlpm_absParameterized absolute value megafunction.参数化的绝对值模块LPM_ADD

7、_SUBlpm_add_subParameterized adder/subtractor megafunction.参数化的加法器/减法器模块LPM_COMPARElpm_compareParameterized comparator megafunction.参数化的比较器模块LPM_COUNTERlpm_counterParameterized counter megafunction.参数化的计数器模块LPM_DIVIDElpm_divide Parameterized divider megafunction.参数化的分配器模块divide*Parameterized divider

8、 megafunction.参数化的分配器模块Use the divide megafunction with mature device families.For newer device families, use the lpm_divide megafunction.LPM_MULTlpm_multParameterized multiplier megafunction.参数化的乘法器模块altsquareParameterized squarer megafunction.参数化的 squarer 模块PARALLEL_ADDparallel_add Parallel adder

9、megafunction.参数化的并联加法器模块Gates MegaWizards and Megafunctions:Gates include multiplexers and LPM gate functions.MegaWizardMegafunction(s)CommentsLPM_ANDlpm_andParameterized AND gate megafunction.参数化的与门模块LPM_BUSTRIlpm_bustriParameterized tri-state buffer megafunction.参数化的三态缓冲器模块LPM_CLSHIFTlpm_clshiftPa

10、rameterized combinational logic shifter or barrel shifter megafunction.参数化的组合逻辑转换模块LPM_CONSTANTlpm_constantParameterized constant generator megafunction.参数化的常数发生器模块LPM_DECODElpm_decodeDecoder megafunction.参数化的解码器模块LPM_INVlpm_invParameterized inverter megafunction.参数化的反相器模块LPM_MUXlpm_muxParameterized

11、 multiplexer megafunctions.参数化的多路转换器模块 LPM_ORlpm_orParameterized OR gate megafunction.参数化的或门模块LPM_XORlpm_xorParameterized XOR gate megafunction.参数化的异或门模块I/O MegaWizards and Megafunctions:I/O components include Clock Data Recovery (CDR),phase locked loop (PLL), double data rate (DDR),gigabit transcei

12、ver block (GXB), LVDS receiver,LVDS transmitter, PLL reconfiguration, and remote update megafunctions.MegaWizardMegafunction(s)Comments ALT2GXBalt2gxbGXB megafunction.千兆位收发器ALT2GXB_RECONFIGalt2gxb_reconfigGXB dynamic reconfiguration megafunction.千兆位动态配置收发器ALTASMI_PARALLELaltasmi_parallelActive seria

13、l memory interface parallel megafunction.并联接口的串行存储器ALTCLKCTRLaltclkctrlClock control block megafunction.时钟控制模块ALTCLKLOCK altclklockParameterized PLL megafunction.参数化的锁相环模块ALTDDIO_BIDIRaltddio_bidirDDR bidirectional megafunction.双倍数双向模块ALTDDIO_INaltddio_inDDR input megafunction.双倍数输入模块ALTDDIO_OUTaltd

14、dio_outDDR output megafunction.双倍数输出模块ALTDLLaltdllDelay locked loop (DDL) megafunction.锁相环模块ALTDQaltdqData strobe megafunction.数据滤波模块ATLDQSaltdqsParameterized bidirectional data strobe megafunction.参数化的双向数据滤波器atldq_dqsParameterized data strobe megafunction.参数化的数据滤波器ALTGXalt4gxbHigh-Speed Serial Inte

15、rface (HSSI) GXBmegafunction.高速串行千兆收发器ALTGXBaltgxbGXB megafunction.千兆位收发器ALTIOBUFaltiobuf_bidirBidirectional I/O buffer megafunction.双向 I/O 缓冲模块altiobuf_inInput I/O buffer megafunction.输入缓冲模块altiobuf_outOutput I/O buffer megafunction.输出缓冲模块ALTLVDSaltlvds_rxLow voltage differential signalling (LVDS)

16、receiver megafunction.低电压差分信号接收器altlvds_txLow voltage differential signalling (LVDS) transmitter megafunction.低电压差分信号发送器ALTMEMPHYALTMEMPHYExternal DDR Memory PHY interface megafunction.PHY 接口的外部的 DDR 存储器ALTOCTalt_octOn-chip termination (OCT) megafunction.片上终端模块ALTPLLaltpllParameterized PLL megafunction.参数化的锁相环模块ALTPLL_RECONFIGaltpll_reconfigParameterized PLL reconfiguration megafunction.参数化可重配置的锁相环模块ALTREMOTE_UPDATEaltremot

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 工程造价

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号