EDA 可控脉冲发生器

上传人:豆浆 文档编号:30727154 上传时间:2018-01-31 格式:DOC 页数:2 大小:27KB
返回 下载 相关 举报
EDA 可控脉冲发生器_第1页
第1页 / 共2页
EDA 可控脉冲发生器_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《EDA 可控脉冲发生器》由会员分享,可在线阅读,更多相关《EDA 可控脉冲发生器(2页珍藏版)》请在金锄头文库上搜索。

1、 - Title:可控脉冲发生器 - Author:Pan Hongtao - Data: 2006-10-1 -library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity exp9 isport( Clk : in std_logic; -时钟输入Rst : in std_logic; -复位输入NU,ND : in std_logic; -输入:控制频率的改变MU,MD : in std_logic; -输入:控制占空比的改变Fou

2、t : out std_logic -波形输出); end exp9;-architecture behave of exp9 issignal N_Buffer,M_Buffer : std_logic_vector(10 downto 0);signal N_Count :std_logic_vector(10 downto 0);signal clkin : std_logic;- signal Clk_Count : std_logic_vector(1 downto 0); -产生一个低速时钟,用于按键判断beginprocess(Clk) -计数器累加beginif(Clkeven

3、t and Clk=1) thenif(N_Count=N_Buffer) thenN_Count=N_Count) thenFoutM_Buffer) thenFout=0;end if;end if; end process;- process(Clk)- begin- if(Clkevent and Clk=1) then- Clk_Count=Clk_Count+1;- end if;- clkin=Clk_Count(1);-end process;process(Clk) -频率及占空比的改变 1beginif(Clkevent and Clk=0) then if(Rst=0) thenM_Buffer=00000000100;N_Buffer=00000001000;elsif(NU=0) thenN_Buffer=N_Buffer+1;elsif(ND=0) thenN_Buffer=N_Buffer-1;elsif(MU=0) thenM_Buffer=M_Buffer+1;elsif(MD=0) thenM_Buffer=M_Buffer-1;end if; end if;end process;end behave;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号