液晶显示控制电路VHDL的实现

上传人:飞*** 文档编号:30678408 上传时间:2018-01-31 格式:DOC 页数:34 大小:1.15MB
返回 下载 相关 举报
液晶显示控制电路VHDL的实现_第1页
第1页 / 共34页
液晶显示控制电路VHDL的实现_第2页
第2页 / 共34页
液晶显示控制电路VHDL的实现_第3页
第3页 / 共34页
液晶显示控制电路VHDL的实现_第4页
第4页 / 共34页
液晶显示控制电路VHDL的实现_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《液晶显示控制电路VHDL的实现》由会员分享,可在线阅读,更多相关《液晶显示控制电路VHDL的实现(34页珍藏版)》请在金锄头文库上搜索。

1、广西工学院毕业设计 液晶显示接口电路0广西工学院毕业设计(论文)说明书课题名称 液晶显示接口控制电路 系 别 信息与计算科学系 专 业 电子信息科学与技术 班 级 电科 082 学 号 200800902046 姓 名 陈 宁 指导教师 韦艳霞 2012 年 5 月 3 日广西工学院毕业设计 液晶显示接口电路摘 要随着人们生活水平的提高,对显示器的要求也随之提高了。液晶作为一种新兴的显示器,以其节电、低辐射、体积小、散热度低等优点,迅速成为最受欢迎的显示器之一。并且它不仅能把想要知道的数据以数字形式表示出来,它甚至可以很直观方便的以汉字与图表的形式表示出来,后者的功能是一般的七段 LED 数码

2、管所不能实现的。而且液晶显示器是纯数字设备因此与此连接的设备也是数字的,从而可以减少在数模转换过程中的信号损失和干扰,其次不需要进行时钟频率、向量的调整。而基于 FPGA控制的液晶显示器以其快速性和稳定性的优势受到了越来越多的研究。本设计采用的液晶的型号是 HS162-4,在理解其显示原理和引脚结构的基础上,把该液晶分为 8 页,这样可以只用其中的一部分来进行显示。我们主要设计的是显示模块部分的编程,为了简化设计,把该部分分为控制显示程序和字库的调用模块。字库对于编写控制模块的程序,我们要用到 Quartus II 软件来编译和仿真程序。Quartus II 软件自带的仿真器支持波形文件作为激

3、励。关键字:液晶 FPGA Quartus II 控制广西工学院毕业设计 液晶显示接口电路IAbstractWith the improvement of peoples living standard, the requirements also will improve the display. LCD as a new kind of display, with its power-saving, low radiation, small size, heat dissipation degrees low advantages, and quickly became one of th

4、e most popular display. And it can not only show the data in digital type, but also can show Chinese characters and chart type, which can not be achieved in the seven-segment LED digital tube can not be achieved. And the LCD display is a pure digital device equipment with this connection is digital,

5、 which can reduce signal loss and interference in the digital-analog conversion process, followed by the clock frequency does not require adjustment of the vector. Advantage of the FPGA to control the liquid crystal display with its speed and stability by a growing body of research.This design used

6、in LCD model is HS162-understand display principles and pin structure on the basis of the LCD is divided into eight, so that only part of it to be displayed. Our main design is a part of the programming of the display module, in order to simplify the design, the part is divided into program control

7、display and the font of the calling module. Font for the preparation of the control module of the program, we use the Quartus II software to compile and simulation program. Quartus II software emulator that comes with support for the waveform file as an incentive.Key word: LCD FPGA Quartus II contro

8、l广西工学院毕业设计 液晶显示接口电路II目 录1 绪 论 .11.1 液晶显示接口控制电路的来源和意义 .11.2 液晶显示器的发展前景 .12 电子设计自动化概述 .22.1 VHDL 简介 .22.1.1 VHDL 的特点 .22.1.2 VHDL 的设计步骤 .32.1.3 VHDL 的设计简述 .32.2 FPGA 介绍 .32.2.1 发展历史 .32.2.2 FPGA 的基本特点 .42.2.3 FPGA 的优点 .42.3 QuartusII 介绍 .52.3.1Quartus II 的主要功能 .52.3.2 Quartus II 的设计流程 .53 液晶显示设计原理 .73

9、.1 液晶显示器工作原理 .73.2 工作时序和基本指令 .83.2.1.工作时序 .83.2.2 基本指令 .93.3 指令详解 .104 液晶显示接口控制电路系统设计 .144.1 单片机与 LCD 连接方式 .144.2 FPGA 直接控制 LCD 接口电路的设计 .144.3 字符的显示 .154.4 自定义汉字的显示 .154.5 LPM_ROM 的初始化数据文件的设计 .165 液晶显示接口电路的模块设计与仿真 .185.1 液晶模块的初始化 .185.2 写数据模块 .185.3 LPM_ROM 模块 .195.4 液晶显示接口电路的顶层电路 .225.5 液晶显示接口电路的波形仿真 .235.6 引脚设置 .23总 结 .25致 谢 .26参考文献 .

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号